Paebbels / pyVHDLParser

Streaming based VHDL parser.
https://paebbels.github.io/pyVHDLParser/
Other
78 stars 15 forks source link

Incompatibility with pyVHDLModel>=v0.10.0 #24

Closed lwaeijen closed 1 year ago

lwaeijen commented 3 years ago

On a fresh install the latest pyVHDLModel package is pulled in (v0.11.1 at the time of writing), which lacks the LibraryReference class. Trying to invoke the cli results in the following error:

from pyVHDLModel.VHDLModel import LibraryReference as LibraryReferenceModel, PackageReference as UseModel
ImportError: cannot import name 'LibraryReference' from 'pyVHDLModel.VHDLModel'

It appears this particular class was removed in v0.10.0 of pyVHDLModel, so perhaps the requirements.txt file should be updated to reflect this dependency.

Paebbels commented 2 years ago

The dev branch now contains a compatible version to v0.14.x.

Paebbels commented 1 year ago

This will be addressed in #48.

Paebbels commented 1 year ago

Unit tests on CI are passing.