QuickLogic-Corp / quicklogic-fpga-toolchain

Open Source FPGA toolchain and documentation for QuickLogic devices and eFPGA IP
https://quicklogic-quicklogic-fpga-toolchain.readthedocs-hosted.com/en/latest/index.html
Apache License 2.0
36 stars 8 forks source link

Conda installer does not enable site isolation #5

Closed whitequark closed 3 years ago

whitequark commented 4 years ago

I'm installing the v1.0.0 release on Debian 10 buster with Python 3.7.3 and pip 18.1. This does not work because conda pip is trying to load something from system pip:

``` Verifying archive integrity... 100% All good. Uncompressing Symbiflow Conda Installer 100% Installation starting for conda based symbiflow QuickLogic Corporation $INSTALL_DIR is set to /home/whitequark/Downloads/t ! --2020-07-01 00:27:19-- https://repo.continuum.io/miniconda/Miniconda3-latest-Linux-x86_64.sh Resolving repo.continuum.io (repo.continuum.io)... 104.18.201.79, 104.18.200.79, 2606:4700::6812:c84f, ... Connecting to repo.continuum.io (repo.continuum.io)|104.18.201.79|:443... connected. HTTP request sent, awaiting response... 301 Moved Permanently Location: https://repo.anaconda.com/miniconda/Miniconda3-latest-Linux-x86_64.sh [following] --2020-07-01 00:27:19-- https://repo.anaconda.com/miniconda/Miniconda3-latest-Linux-x86_64.sh Resolving repo.anaconda.com (repo.anaconda.com)... 104.16.131.3, 104.16.130.3, 2606:4700::6810:8203, ... Connecting to repo.anaconda.com (repo.anaconda.com)|104.16.131.3|:443... connected. HTTP request sent, awaiting response... 200 OK Length: 88867207 (85M) [application/x-sh] Saving to: ‘conda_installer.sh’ conda_installer.sh 100%[==================================================================>] 84.75M 69.0MB/s in 1.2s 2020-07-01 00:27:20 (69.0 MB/s) - ‘conda_installer.sh’ saved [88867207/88867207] PREFIX=/home/whitequark/Downloads/t/conda Unpacking payload ... Collecting package metadata (current_repodata.json): done Solving environment: done ## Package Plan ## environment location: /home/whitequark/Downloads/t/conda added / updated specs: - _libgcc_mutex==0.1=main - ca-certificates==2020.1.1=0 - certifi==2020.4.5.1=py37_0 - cffi==1.14.0=py37he30daa8_1 - chardet==3.0.4=py37_1003 - conda-package-handling==1.6.1=py37h7b6447c_0 - conda==4.8.3=py37_0 - cryptography==2.9.2=py37h1ba5d50_0 - idna==2.9=py_1 - ld_impl_linux-64==2.33.1=h53a641e_7 - libedit==3.1.20181209=hc058e9b_0 - libffi==3.3=he6710b0_1 - libgcc-ng==9.1.0=hdf63c60_0 - libstdcxx-ng==9.1.0=hdf63c60_0 - ncurses==6.2=he6710b0_1 - openssl==1.1.1g=h7b6447c_0 - pip==20.0.2=py37_3 - pycosat==0.6.3=py37h7b6447c_0 - pycparser==2.20=py_0 - pyopenssl==19.1.0=py37_0 - pysocks==1.7.1=py37_0 - python==3.7.7=hcff3b4d_5 - readline==8.0=h7b6447c_0 - requests==2.23.0=py37_0 - ruamel_yaml==0.15.87=py37h7b6447c_0 - setuptools==46.4.0=py37_0 - six==1.14.0=py37_0 - sqlite==3.31.1=h62c20be_1 - tk==8.6.8=hbc83047_0 - tqdm==4.46.0=py_0 - urllib3==1.25.8=py37_0 - wheel==0.34.2=py37_0 - xz==5.2.5=h7b6447c_0 - yaml==0.1.7=had09818_2 - zlib==1.2.11=h7b6447c_3 The following NEW packages will be INSTALLED: _libgcc_mutex pkgs/main/linux-64::_libgcc_mutex-0.1-main ca-certificates pkgs/main/linux-64::ca-certificates-2020.1.1-0 certifi pkgs/main/linux-64::certifi-2020.4.5.1-py37_0 cffi pkgs/main/linux-64::cffi-1.14.0-py37he30daa8_1 chardet pkgs/main/linux-64::chardet-3.0.4-py37_1003 conda pkgs/main/linux-64::conda-4.8.3-py37_0 conda-package-han~ pkgs/main/linux-64::conda-package-handling-1.6.1-py37h7b6447c_0 cryptography pkgs/main/linux-64::cryptography-2.9.2-py37h1ba5d50_0 idna pkgs/main/noarch::idna-2.9-py_1 ld_impl_linux-64 pkgs/main/linux-64::ld_impl_linux-64-2.33.1-h53a641e_7 libedit pkgs/main/linux-64::libedit-3.1.20181209-hc058e9b_0 libffi pkgs/main/linux-64::libffi-3.3-he6710b0_1 libgcc-ng pkgs/main/linux-64::libgcc-ng-9.1.0-hdf63c60_0 libstdcxx-ng pkgs/main/linux-64::libstdcxx-ng-9.1.0-hdf63c60_0 ncurses pkgs/main/linux-64::ncurses-6.2-he6710b0_1 openssl pkgs/main/linux-64::openssl-1.1.1g-h7b6447c_0 pip pkgs/main/linux-64::pip-20.0.2-py37_3 pycosat pkgs/main/linux-64::pycosat-0.6.3-py37h7b6447c_0 pycparser pkgs/main/noarch::pycparser-2.20-py_0 pyopenssl pkgs/main/linux-64::pyopenssl-19.1.0-py37_0 pysocks pkgs/main/linux-64::pysocks-1.7.1-py37_0 python pkgs/main/linux-64::python-3.7.7-hcff3b4d_5 readline pkgs/main/linux-64::readline-8.0-h7b6447c_0 requests pkgs/main/linux-64::requests-2.23.0-py37_0 ruamel_yaml pkgs/main/linux-64::ruamel_yaml-0.15.87-py37h7b6447c_0 setuptools pkgs/main/linux-64::setuptools-46.4.0-py37_0 six pkgs/main/linux-64::six-1.14.0-py37_0 sqlite pkgs/main/linux-64::sqlite-3.31.1-h62c20be_1 tk pkgs/main/linux-64::tk-8.6.8-hbc83047_0 tqdm pkgs/main/noarch::tqdm-4.46.0-py_0 urllib3 pkgs/main/linux-64::urllib3-1.25.8-py37_0 wheel pkgs/main/linux-64::wheel-0.34.2-py37_0 xz pkgs/main/linux-64::xz-5.2.5-h7b6447c_0 yaml pkgs/main/linux-64::yaml-0.1.7-had09818_2 zlib pkgs/main/linux-64::zlib-1.2.11-h7b6447c_3 Preparing transaction: done Executing transaction: done installation finished. Collecting package metadata (current_repodata.json): ...working... done Solving environment: ...working... done ## Package Plan ## environment location: /home/whitequark/Downloads/t/conda added / updated specs: - conda The following packages will be downloaded: package | build ---------------------------|----------------- _libgcc_mutex-0.1 | conda_forge 3 KB conda-forge _openmp_mutex-4.5 | 0_gnu 435 KB conda-forge brotlipy-0.7.0 |py37h8f50634_1000 346 KB conda-forge ca-certificates-2020.6.20 | hecda079_0 145 KB conda-forge certifi-2020.6.20 | py37hc8dfbb8_0 151 KB conda-forge chardet-3.0.4 |py37hc8dfbb8_1006 169 KB conda-forge conda-4.8.3 | py37hc8dfbb8_1 3.0 MB conda-forge conda-package-handling-1.6.0| py37h8f50634_2 945 KB conda-forge cryptography-2.9.2 | py37hb09aad4_0 622 KB conda-forge idna-2.10 | pyh9f0ad1d_0 52 KB conda-forge ld_impl_linux-64-2.34 | h53a641e_5 616 KB conda-forge libedit-3.1.20191231 | h7b6447c_0 167 KB libgcc-ng-9.2.0 | h24d8f2e_2 8.2 MB conda-forge libgomp-9.2.0 | h24d8f2e_2 816 KB conda-forge libstdcxx-ng-9.2.0 | hdf63c60_2 4.5 MB conda-forge openssl-1.1.1g | h516909a_0 2.1 MB conda-forge pip-20.1.1 | py_1 1.1 MB conda-forge pycosat-0.6.3 |py37h8f50634_1004 107 KB conda-forge pycparser-2.20 | pyh9f0ad1d_2 94 KB conda-forge pyopenssl-19.1.0 | py_1 47 KB conda-forge pysocks-1.7.1 | py37hc8dfbb8_1 27 KB conda-forge python_abi-3.7 | 1_cp37m 4 KB conda-forge requests-2.24.0 | pyh9f0ad1d_0 47 KB conda-forge ruamel_yaml-0.15.80 |py37h8f50634_1001 267 KB conda-forge setuptools-47.3.1 | py37hc8dfbb8_0 652 KB conda-forge six-1.15.0 | pyh9f0ad1d_0 14 KB conda-forge sqlite-3.32.3 | h62c20be_0 1.1 MB tk-8.6.10 | hed695b0_0 3.2 MB conda-forge tqdm-4.47.0 | pyh9f0ad1d_0 52 KB conda-forge urllib3-1.25.9 | py_0 92 KB conda-forge wheel-0.34.2 | py_1 24 KB conda-forge xz-5.2.5 | h516909a_0 430 KB conda-forge yaml-0.2.5 | h516909a_0 82 KB conda-forge zlib-1.2.11 | h516909a_1006 105 KB conda-forge ------------------------------------------------------------ Total: 29.6 MB The following NEW packages will be INSTALLED: _openmp_mutex conda-forge/linux-64::_openmp_mutex-4.5-0_gnu brotlipy conda-forge/linux-64::brotlipy-0.7.0-py37h8f50634_1000 libgomp conda-forge/linux-64::libgomp-9.2.0-h24d8f2e_2 python_abi conda-forge/linux-64::python_abi-3.7-1_cp37m The following packages will be UPDATED: ca-certificates pkgs/main::ca-certificates-2020.1.1-0 --> conda-forge::ca-certificates-2020.6.20-hecda079_0 certifi pkgs/main::certifi-2020.4.5.1-py37_0 --> conda-forge::certifi-2020.6.20-py37hc8dfbb8_0 chardet pkgs/main::chardet-3.0.4-py37_1003 --> conda-forge::chardet-3.0.4-py37hc8dfbb8_1006 conda pkgs/main::conda-4.8.3-py37_0 --> conda-forge::conda-4.8.3-py37hc8dfbb8_1 idna pkgs/main::idna-2.9-py_1 --> conda-forge::idna-2.10-pyh9f0ad1d_0 ld_impl_linux-64 pkgs/main::ld_impl_linux-64-2.33.1-h5~ --> conda-forge::ld_impl_linux-64-2.34-h53a641e_5 libedit 3.1.20181209-hc058e9b_0 --> 3.1.20191231-h7b6447c_0 libgcc-ng pkgs/main::libgcc-ng-9.1.0-hdf63c60_0 --> conda-forge::libgcc-ng-9.2.0-h24d8f2e_2 libstdcxx-ng pkgs/main::libstdcxx-ng-9.1.0-hdf63c6~ --> conda-forge::libstdcxx-ng-9.2.0-hdf63c60_2 pip pkgs/main/linux-64::pip-20.0.2-py37_3 --> conda-forge/noarch::pip-20.1.1-py_1 pycosat pkgs/main::pycosat-0.6.3-py37h7b6447c~ --> conda-forge::pycosat-0.6.3-py37h8f50634_1004 pycparser pkgs/main::pycparser-2.20-py_0 --> conda-forge::pycparser-2.20-pyh9f0ad1d_2 pyopenssl pkgs/main/linux-64::pyopenssl-19.1.0-~ --> conda-forge/noarch::pyopenssl-19.1.0-py_1 pysocks pkgs/main::pysocks-1.7.1-py37_0 --> conda-forge::pysocks-1.7.1-py37hc8dfbb8_1 requests pkgs/main/linux-64::requests-2.23.0-p~ --> conda-forge/noarch::requests-2.24.0-pyh9f0ad1d_0 setuptools pkgs/main::setuptools-46.4.0-py37_0 --> conda-forge::setuptools-47.3.1-py37hc8dfbb8_0 six pkgs/main/linux-64::six-1.14.0-py37_0 --> conda-forge/noarch::six-1.15.0-pyh9f0ad1d_0 sqlite 3.31.1-h62c20be_1 --> 3.32.3-h62c20be_0 tk pkgs/main::tk-8.6.8-hbc83047_0 --> conda-forge::tk-8.6.10-hed695b0_0 tqdm pkgs/main::tqdm-4.46.0-py_0 --> conda-forge::tqdm-4.47.0-pyh9f0ad1d_0 urllib3 pkgs/main/linux-64::urllib3-1.25.8-py~ --> conda-forge/noarch::urllib3-1.25.9-py_0 wheel pkgs/main/linux-64::wheel-0.34.2-py37~ --> conda-forge/noarch::wheel-0.34.2-py_1 yaml pkgs/main::yaml-0.1.7-had09818_2 --> conda-forge::yaml-0.2.5-h516909a_0 zlib pkgs/main::zlib-1.2.11-h7b6447c_3 --> conda-forge::zlib-1.2.11-h516909a_1006 The following packages will be SUPERSEDED by a higher-priority channel: _libgcc_mutex pkgs/main::_libgcc_mutex-0.1-main --> conda-forge::_libgcc_mutex-0.1-conda_forge conda-package-han~ pkgs/main::conda-package-handling-1.6~ --> conda-forge::conda-package-handling-1.6.0-py37h8f50634_2 cryptography pkgs/main::cryptography-2.9.2-py37h1b~ --> conda-forge::cryptography-2.9.2-py37hb09aad4_0 openssl pkgs/main::openssl-1.1.1g-h7b6447c_0 --> conda-forge::openssl-1.1.1g-h516909a_0 ruamel_yaml pkgs/main::ruamel_yaml-0.15.87-py37h7~ --> conda-forge::ruamel_yaml-0.15.80-py37h8f50634_1001 xz pkgs/main::xz-5.2.5-h7b6447c_0 --> conda-forge::xz-5.2.5-h516909a_0 Preparing transaction: ...working... done Verifying transaction: ...working... done Executing transaction: ...working... done Collecting package metadata (current_repodata.json): done Solving environment: done ## Package Plan ## environment location: /home/whitequark/Downloads/t/conda added / updated specs: - yosys The following packages will be downloaded: package | build ---------------------------|----------------- yosys-0.6.0_0011_g5f02c9c | None 10.5 MB quicklogic-corp ------------------------------------------------------------ Total: 10.5 MB The following NEW packages will be INSTALLED: yosys quicklogic-corp/linux-64::yosys-0.6.0_0011_g5f02c9c-None Downloading and Extracting Packages yosys-0.6.0_0011_g5f | 10.5 MB | #################################################################################################### | 100% Preparing transaction: done Verifying transaction: done Executing transaction: done Collecting package metadata (current_repodata.json): done Solving environment: done ## Package Plan ## environment location: /home/whitequark/Downloads/t/conda added / updated specs: - yosys-plugins The following packages will be downloaded: package | build ---------------------------|----------------- yosys-plugins-1.0.0_7_g59ff1e6_20_ga6d57a7_0016_g8a57843| None 1.7 MB quicklogic-corp ------------------------------------------------------------ Total: 1.7 MB The following NEW packages will be INSTALLED: yosys-plugins quicklogic-corp/linux-64::yosys-plugins-1.0.0_7_g59ff1e6_20_ga6d57a7_0016_g8a57843-None Downloading and Extracting Packages yosys-plugins-1.0.0_ | 1.7 MB | #################################################################################################### | 100% Preparing transaction: done Verifying transaction: done Executing transaction: done Collecting package metadata (current_repodata.json): done Solving environment: done ## Package Plan ## environment location: /home/whitequark/Downloads/t/conda added / updated specs: - vtr The following packages will be downloaded: package | build ---------------------------|----------------- tbb-2020.1 | hc9558a2_0 1.4 MB conda-forge vtr-vpr_7.0.5_11417_ge190bf5fb| 20200615_112816 57.2 MB antmicro/label/ql ------------------------------------------------------------ Total: 58.6 MB The following NEW packages will be INSTALLED: tbb conda-forge/linux-64::tbb-2020.1-hc9558a2_0 vtr antmicro/label/ql/linux-64::vtr-vpr_7.0.5_11417_ge190bf5fb-20200615_112816 Downloading and Extracting Packages tbb-2020.1 | 1.4 MB | #################################################################################################### | 100% vtr-vpr_7.0.5_11417_ | 57.2 MB | #################################################################################################### | 100% Preparing transaction: done Verifying transaction: done Executing transaction: done Collecting package metadata (current_repodata.json): done Solving environment: done ## Package Plan ## environment location: /home/whitequark/Downloads/t/conda added / updated specs: - iverilog The following packages will be downloaded: package | build ---------------------------|----------------- iverilog-s20150603_0847_ga1518b57| None 2.3 MB quicklogic-corp ------------------------------------------------------------ Total: 2.3 MB The following NEW packages will be INSTALLED: iverilog quicklogic-corp/linux-64::iverilog-s20150603_0847_ga1518b57-None Downloading and Extracting Packages iverilog-s20150603_0 | 2.3 MB | #################################################################################################### | 100% Preparing transaction: done Verifying transaction: done Executing transaction: done Collecting package metadata (current_repodata.json): done Solving environment: done ## Package Plan ## environment location: /home/whitequark/Downloads/t/conda added / updated specs: - gtkwave The following packages will be downloaded: package | build ---------------------------|----------------- gtkwave-3.3.91 | 0 5.1 MB tfors ------------------------------------------------------------ Total: 5.1 MB The following NEW packages will be INSTALLED: gtkwave tfors/linux-64::gtkwave-3.3.91-0 Downloading and Extracting Packages gtkwave-3.3.91 | 5.1 MB | #################################################################################################### | 100% Preparing transaction: done Verifying transaction: done Executing transaction: done Collecting package metadata (current_repodata.json): done Solving environment: done ## Package Plan ## environment location: /home/whitequark/Downloads/t/conda added / updated specs: - git - intervaltree - lxml - make - pip - simplejson The following packages will be downloaded: package | build ---------------------------|----------------- curl-7.71.0 | he644dc0_0 140 KB conda-forge expat-2.2.9 | he1b5a44_2 191 KB conda-forge gettext-0.19.8.1 | h5e8e0c9_1 3.5 MB conda-forge git-2.27.0 | pl526h5e3e691_0 15.8 MB conda-forge icu-67.1 | he1b5a44_0 12.9 MB conda-forge intervaltree-3.0.2 | py_0 23 KB conda-forge krb5-1.17.1 | hfafb76e_1 1.5 MB conda-forge libcurl-7.71.0 | hcdd3856_0 586 KB conda-forge libiconv-1.15 | h516909a_1006 2.0 MB conda-forge libssh2-1.9.0 | hab1572f_2 298 KB conda-forge libxml2-2.9.10 | h72b56ed_1 1.3 MB conda-forge libxslt-1.1.33 | h572872d_1 556 KB conda-forge lxml-4.5.1 | py37he3881c9_0 1.3 MB conda-forge make-4.3 | h516909a_0 505 KB conda-forge pcre-8.44 | he1b5a44_0 261 KB conda-forge perl-5.26.2 | h516909a_1006 15.4 MB conda-forge simplejson-3.17.0 | py37h8f50634_1 101 KB conda-forge sortedcontainers-2.2.2 | pyh9f0ad1d_0 25 KB conda-forge ------------------------------------------------------------ Total: 56.4 MB The following NEW packages will be INSTALLED: curl conda-forge/linux-64::curl-7.71.0-he644dc0_0 expat conda-forge/linux-64::expat-2.2.9-he1b5a44_2 gettext conda-forge/linux-64::gettext-0.19.8.1-h5e8e0c9_1 git conda-forge/linux-64::git-2.27.0-pl526h5e3e691_0 icu conda-forge/linux-64::icu-67.1-he1b5a44_0 intervaltree conda-forge/noarch::intervaltree-3.0.2-py_0 krb5 conda-forge/linux-64::krb5-1.17.1-hfafb76e_1 libcurl conda-forge/linux-64::libcurl-7.71.0-hcdd3856_0 libiconv conda-forge/linux-64::libiconv-1.15-h516909a_1006 libssh2 conda-forge/linux-64::libssh2-1.9.0-hab1572f_2 libxml2 conda-forge/linux-64::libxml2-2.9.10-h72b56ed_1 libxslt conda-forge/linux-64::libxslt-1.1.33-h572872d_1 lxml conda-forge/linux-64::lxml-4.5.1-py37he3881c9_0 make conda-forge/linux-64::make-4.3-h516909a_0 pcre conda-forge/linux-64::pcre-8.44-he1b5a44_0 perl conda-forge/linux-64::perl-5.26.2-h516909a_1006 simplejson conda-forge/linux-64::simplejson-3.17.0-py37h8f50634_1 sortedcontainers conda-forge/noarch::sortedcontainers-2.2.2-pyh9f0ad1d_0 Downloading and Extracting Packages gettext-0.19.8.1 | 3.5 MB | #################################################################################################### | 100% curl-7.71.0 | 140 KB | #################################################################################################### | 100% icu-67.1 | 12.9 MB | #################################################################################################### | 100% libxslt-1.1.33 | 556 KB | #################################################################################################### | 100% lxml-4.5.1 | 1.3 MB | #################################################################################################### | 100% krb5-1.17.1 | 1.5 MB | #################################################################################################### | 100% perl-5.26.2 | 15.4 MB | #################################################################################################### | 100% libxml2-2.9.10 | 1.3 MB | #################################################################################################### | 100% libiconv-1.15 | 2.0 MB | #################################################################################################### | 100% intervaltree-3.0.2 | 23 KB | #################################################################################################### | 100% simplejson-3.17.0 | 101 KB | #################################################################################################### | 100% make-4.3 | 505 KB | #################################################################################################### | 100% git-2.27.0 | 15.8 MB | #################################################################################################### | 100% libcurl-7.71.0 | 586 KB | #################################################################################################### | 100% pcre-8.44 | 261 KB | #################################################################################################### | 100% expat-2.2.9 | 191 KB | #################################################################################################### | 100% sortedcontainers-2.2 | 25 KB | #################################################################################################### | 100% libssh2-1.9.0 | 298 KB | #################################################################################################### | 100% Preparing transaction: done Verifying transaction: done Executing transaction: done Traceback (most recent call last): File "/home/whitequark/Downloads/t/conda/bin/pip", line 6, in from pip._internal.cli.main import main File "/usr/lib/python3/dist-packages/pip/_internal/__init__.py", line 40, in from pip._internal.cli.autocompletion import autocomplete File "/usr/lib/python3/dist-packages/pip/_internal/cli/autocompletion.py", line 8, in from pip._internal.cli.main_parser import create_main_parser File "/usr/lib/python3/dist-packages/pip/_internal/cli/main_parser.py", line 8, in from pip._internal.cli import cmdoptions File "/usr/lib/python3/dist-packages/pip/_internal/cli/cmdoptions.py", line 20, in from pip._internal.utils.hashes import STRONG_HASHES File "/usr/lib/python3/dist-packages/pip/_internal/utils/hashes.py", line 10, in from pip._internal.utils.misc import read_chunks File "/usr/lib/python3/dist-packages/pip/_internal/utils/misc.py", line 24, in from pip._vendor.retrying import retry # type: ignore ModuleNotFoundError: No module named 'pip._vendor.retrying' Traceback (most recent call last): File "/home/whitequark/Downloads/t/conda/bin/pip", line 6, in from pip._internal.cli.main import main File "/usr/lib/python3/dist-packages/pip/_internal/__init__.py", line 40, in from pip._internal.cli.autocompletion import autocomplete File "/usr/lib/python3/dist-packages/pip/_internal/cli/autocompletion.py", line 8, in from pip._internal.cli.main_parser import create_main_parser File "/usr/lib/python3/dist-packages/pip/_internal/cli/main_parser.py", line 8, in from pip._internal.cli import cmdoptions File "/usr/lib/python3/dist-packages/pip/_internal/cli/cmdoptions.py", line 20, in from pip._internal.utils.hashes import STRONG_HASHES File "/usr/lib/python3/dist-packages/pip/_internal/utils/hashes.py", line 10, in from pip._internal.utils.misc import read_chunks File "/usr/lib/python3/dist-packages/pip/_internal/utils/misc.py", line 24, in from pip._vendor.retrying import retry # type: ignore ModuleNotFoundError: No module named 'pip._vendor.retrying' Traceback (most recent call last): File "/home/whitequark/Downloads/t/conda/bin/pip", line 6, in from pip._internal.cli.main import main File "/usr/lib/python3/dist-packages/pip/_internal/__init__.py", line 40, in from pip._internal.cli.autocompletion import autocomplete File "/usr/lib/python3/dist-packages/pip/_internal/cli/autocompletion.py", line 8, in from pip._internal.cli.main_parser import create_main_parser File "/usr/lib/python3/dist-packages/pip/_internal/cli/main_parser.py", line 8, in from pip._internal.cli import cmdoptions File "/usr/lib/python3/dist-packages/pip/_internal/cli/cmdoptions.py", line 20, in from pip._internal.utils.hashes import STRONG_HASHES File "/usr/lib/python3/dist-packages/pip/_internal/utils/hashes.py", line 10, in from pip._internal.utils.misc import read_chunks File "/usr/lib/python3/dist-packages/pip/_internal/utils/misc.py", line 24, in from pip._vendor.retrying import retry # type: ignore ModuleNotFoundError: No module named 'pip._vendor.retrying' Traceback (most recent call last): File "/home/whitequark/Downloads/t/conda/bin/pip", line 6, in from pip._internal.cli.main import main File "/usr/lib/python3/dist-packages/pip/_internal/__init__.py", line 40, in from pip._internal.cli.autocompletion import autocomplete File "/usr/lib/python3/dist-packages/pip/_internal/cli/autocompletion.py", line 8, in from pip._internal.cli.main_parser import create_main_parser File "/usr/lib/python3/dist-packages/pip/_internal/cli/main_parser.py", line 8, in from pip._internal.cli import cmdoptions File "/usr/lib/python3/dist-packages/pip/_internal/cli/cmdoptions.py", line 20, in from pip._internal.utils.hashes import STRONG_HASHES File "/usr/lib/python3/dist-packages/pip/_internal/utils/hashes.py", line 10, in from pip._internal.utils.misc import read_chunks File "/usr/lib/python3/dist-packages/pip/_internal/utils/misc.py", line 24, in from pip._vendor.retrying import retry # type: ignore ModuleNotFoundError: No module named 'pip._vendor.retrying' ```
FFY00 commented 4 years ago

This seems like a broken pip installation.

python -m ensurepip
python -m pip install --upgrade pip
whitequark commented 4 years ago

That's a standard Debian pip that works perfectly well for everything else. If I run python -m pip install --upgrade pip then it whines about the script not matching the package each time I run it, so not going to do that.

What's the point of using all the awful conda stuff if you're still going to stick with system pip, anyway?

FFY00 commented 4 years ago

I don't use conda, I manage the binary dependencies with the system package manager (I use arch, most stable stuff is in the repos and we have https://github.com/FFY00/symbiflow-arch-pkgs for master).

AFAIK conda works in virtual environments. If python -m pip install --upgrade pip runs the system's pip, then something is wrong, or conda does not do full isolation, only semi. Even if it was only doing semi-isolation and pip was installed in the venv, running pip should work.

Btw, the whole point of running python -m pip instead of pip is to make sure you run pip from the environment if PATH isn't properly set up. If it runs system pip, it is definitely not isolated.

I can install everything fine here:

$ pip install git+https://github.com/symbiflow/fasm git+https://github.com/antmicro/quicklogic-fasm git+https://github.com/antmicro/quicklogic-fasm-utils
Collecting git+https://github.com/symbiflow/fasm
  Cloning https://github.com/symbiflow/fasm to /tmp/pip-req-build-p_5w7owv
  Running command git clone -q https://github.com/symbiflow/fasm /tmp/pip-req-build-p_5w7owv
Collecting git+https://github.com/antmicro/quicklogic-fasm
  Cloning https://github.com/antmicro/quicklogic-fasm to /tmp/pip-req-build-pox_bj_o
  Running command git clone -q https://github.com/antmicro/quicklogic-fasm /tmp/pip-req-build-pox_bj_o
  Running command git submodule update --init --recursive -q
Collecting git+https://github.com/antmicro/quicklogic-fasm-utils
  Cloning https://github.com/antmicro/quicklogic-fasm-utils to /tmp/pip-req-build-0_vqrj6y
  Running command git clone -q https://github.com/antmicro/quicklogic-fasm-utils /tmp/pip-req-build-0_vqrj6y
Collecting textx
  Downloading textX-2.1.0-py2.py3-none-any.whl (62 kB)
     |████████████████████████████████| 62 kB 364 kB/s
Collecting click==7.0
  Using cached Click-7.0-py2.py3-none-any.whl (81 kB)
Collecting Arpeggio>=1.9.0
  Downloading Arpeggio-1.9.2-py2.py3-none-any.whl (57 kB)
     |████████████████████████████████| 57 kB 1.8 MB/s
Building wheels for collected packages: fasm, quicklogic-fasm, fasm-utils
  Building wheel for fasm (setup.py) ... done
  Created wheel for fasm: filename=fasm-0.0.1-py2.py3-none-any.whl size=8231 sha256=83b036312cd378bc51487ff7b8b328afbb3832fb72d794f34d0a429ab701047b
  Stored in directory: /tmp/pip-ephem-wheel-cache-ds9ljd20/wheels/99/13/30/83ebd1452c46e01b4533e202afb18931341abc56685f541936
  Building wheel for quicklogic-fasm (setup.py) ... done
  Created wheel for quicklogic-fasm: filename=quicklogic_fasm-0.0.1-py3-none-any.whl size=2794082 sha256=36aadfff813571c22fead435bfebbb47cfcd4d20c7707ec7dd5b52a90c609584
  Stored in directory: /tmp/pip-ephem-wheel-cache-ds9ljd20/wheels/30/b2/87/b7f0e44e08b4ad44ffd414c64cabcf0ea402d89d0e321fe08f
  Building wheel for fasm-utils (setup.py) ... done
  Created wheel for fasm-utils: filename=fasm_utils-0.0.1-py3-none-any.whl size=9269 sha256=5150c34d1967daf2c83cb403909ba9e2d68362e2a0d1ccabc2fc69349f9a098a
  Stored in directory: /tmp/pip-ephem-wheel-cache-ds9ljd20/wheels/6a/c7/66/731a98a77088671e1d550fad5c15e6e4fd38e859179c5b3f4a
Successfully built fasm quicklogic-fasm fasm-utils
Installing collected packages: click, Arpeggio, textx, fasm, quicklogic-fasm, fasm-utils
Successfully installed Arpeggio-1.9.2 click-7.0 fasm-0.0.1 fasm-utils-0.0.1 quicklogic-fasm-0.0.1 textx-2.1.0
$ fasm --help                                                                                            [15:17:49]
usage: FASM tool [-h] [--canonical] file

positional arguments:
  file         Filename to process

optional arguments:
  -h, --help   show this help message and exit
  --canonical  Return canonical form of FASM.
$ qlfasm --help
usage: qlfasm [-h] [--db-root DB_ROOT] [-d] [-v] infile outfile

Converts FASM file to the bitstream or the other way around

positional arguments:
  infile             The input file (FASM, or bitstream when disassembling)
  outfile            The output file (bitstream, or FASM when disassembling)

optional arguments:
  -h, --help         show this help message and exit
  --db-root DB_ROOT  Path to the fasm database (def. '/home/anubis/.virtualenvs/quicklogic/lib/python3.8/site-packages/quicklogic_fasm/ql732b')
  -d, --disassemble  Disasseble bitstream
  -v, --verbose      Adds some verbose messages during bitstream production

I just created a virtual environment and run pip, everything seems to be working as expected.

To me, it seems like you have a bad conda environment set up, or conda is just even worse than I thought :stuck_out_tongue:.

whitequark commented 4 years ago

To me, it seems like you have a bad conda environment set up

I didn't set up a conda environment. I would never use conda voluntarily. I downloaded the installer provided by QuickLogic, which uses conda internally, and evidently that installer did not set a good conda environment, which is exactly what I'm reporting!

whitequark commented 4 years ago

I can install everything fine here:

Oh, and fasm is not the problem--Yosys and VPR are, since those can't be simply installed from PyPI but have to be built from sources.

FFY00 commented 4 years ago

I didn't set up a conda environment. I would never use conda voluntarily. I downloaded the installer provided by QuickLogic, which uses conda internally, and evidently that installer did not set a good conda environment, which is exactly what I'm reporting!

Ah, right. Sorry.

Oh, and fasm is not the problem--Yosys and VPR are, since those can't be simply installed from PyPI but have to be built from sources.

I install all that from source. Even if I need to package it myself, it is significantly easier than dealing with conda. I can add quicklogic yosys & vtr to my arch nightly repo, should be fairly easy. But you are running debian so it probably wouldn't be very helful to you.

whitequark commented 4 years ago

But you are running debian so it probably wouldn't be very helful to you.

Indeed. I'd like to eventually provide builds as a part of YoWASP but that requires the Yosys bits to get upstream first.

kkumar23 commented 4 years ago

@whitequark : Most of the posts shows by uninstalling pip and re-installing would fix this. Below link has few suggestions, hope it helps. https://stackoverflow.com/questions/49478573/pip3-install-not-working-no-module-named-pip-vendor-pkg-resources

whitequark commented 4 years ago

@kkumar23 Why does the conda installer care about the system pip? What if I don't have a system pip at all?

mithro commented 4 years ago

Something very weird is going on there -- you are correct that conda shouldn't be looking at the system pip.

Could you give me the output of any Python related environment variables (like PYTHONPATH) and similar?

whitequark commented 4 years ago

I don't have any:

$ env|grep PYTHON
$
kgugala commented 4 years ago

@whitequark did you manage to get any more debug info?

whitequark commented 4 years ago

Sort of. I discovered that although I had no conda environments still present, I had a ~/.condarc and ~/.conda which are actually read and modified by the QuickLogic installer. This is clearly a bug (as the installation must be self-contained and not modify any files outside of INSTALL_DIR, otherwise it will conflict with other conda-using software) but deleting them did not fix the pip problem.

mithro commented 4 years ago

@whitequark -- Frustratingly, at the moment there is no way to prevent conda from reading and modifying of ~/.condarc and ~/.conda (except to directly patch conda). See https://github.com/conda/conda/issues/8599 and https://github.com/conda/conda/issues/8804

whitequark commented 3 years ago

Okay, I figured out what the problem is. The problem is that the Symbiflow conda installation is intended to be an isolated environment, but it is not, and as a result, running pip from the conda environment loads random modules from pythonX.Y/site-packages in both my $HOME/.local and /usr. This, of course, leads to breakage.

The proper fix is to apply this patch to the conda_build_install_package.sh file:

--- conda_build_install_package.sh.orig 2020-11-07 16:14:29.613111775 +0000
+++ conda_build_install_package.sh  2020-11-07 16:08:52.019547039 +0000
@@ -19,6 +19,7 @@
 wget https://repo.continuum.io/miniconda/Miniconda3-latest-Linux-x86_64.sh -O conda_installer.sh
 bash conda_installer.sh -b -p $INSTALL_DIR/conda && rm conda_installer.sh
 source "$INSTALL_DIR/conda/etc/profile.d/conda.sh"
+echo "include-system-site-packages=false" >> $INSTALL_DIR/conda/pyvenv.cfg
 conda config --set always_yes yes --set changeps1 no
 conda config --add channels conda-forge
 conda config --add channels quicklogic-corp/label/ql

I couldn't find where this file is generated or I would have sent a PR.

The workaround for the time being is to export PYTHONNOUSERSITE=1 before running the installer, and then run echo "include-system-site-packages=false" >> $INSTALL_DIR/conda/pyvenv.cfg manually.

kkumar23 commented 3 years ago

@whitequark : I have updated with "+echo "include-system-site-packages=false" >> $INSTALL_DIR/conda/pyvenv.cfg" . Please verify with v1.3.0

whitequark commented 3 years ago

I believe this issue is fixed.