Quuxplusone / LLVMBugzillaTest

0 stars 0 forks source link

clang fails retain-release test #5620

Closed Quuxplusone closed 14 years ago

Quuxplusone commented 14 years ago
Bugzilla Link PR5111
Status RESOLVED WONTFIX
Importance P normal
Reported by Michel Alexandre Salim (salimma@fedoraproject.org)
Reported on 2009-09-30 13:31:35 -0700
Last modified on 2010-02-22 12:50:06 -0800
Version 2.6
Hardware PC Linux
CC clattner@nondot.org, kremenek@apple.com, llvm-bugs@lists.llvm.org, tonic@nondot.org, voyageursp@gmail.com
Fixed by commit(s)
Attachments root.log (49269 bytes, application/octet-stream)
root64.log (49804 bytes, application/octet-stream)
Blocks
Blocked by
See also
Created attachment 3592
Logfile showing build dependencies installed for i686

clang 2.6 pre-release2, compiled together with the matching LLVM pre-release,
fails this test on Fedora rawhide i686:

+ cd tools/clang
+ make test
+ tee ../clang-testlog.txt
make[1]: Entering directory `/builddir/build/BUILD/llvm-
2.6/obj/tools/clang/test'
--- Running clang tests for i686-pc-linux-gnu ---
-- Testing: 1463 tests, 4 threads --
...............................................................
FAIL: /builddir/build/BUILD/llvm-2.6/tools/clang/test/Analysis/retain-release.m
(  64 of 1463)
..................................................................................Testing
Time: 5.96s
********************
Failing Tests (1):
    /builddir/build/BUILD/llvm-2.6/tools/clang/test/Analysis/retain-release.m
Failures: 1

On x86_64, there are more failures:
+ cd tools/clang
+ make test
+ tee ../clang-testlog.txt
make[1]: Entering directory `/builddir/build/BUILD/llvm-
2.6/obj/tools/clang/test'
--- Running clang tests for x86_64-unknown-linux-gnu ---
-- Testing: 1463 tests, 4 threads --
................................................................
FAIL: /builddir/build/BUILD/llvm-2.6/tools/clang/test/Analysis/retain-release.m
(  64 of 1463)
........................................................................................................................................................................................
FAIL: /builddir/build/BUILD/llvm-2.6/tools/clang/test/CodeGen/const-init.c (
250 of 1463)
...........................................
FAIL: /builddir/build/BUILD/llvm-2.6/tools/clang/test/CodeGen/mmintrin-test.c (
296 of 1463)
..........................
FAIL: /builddir/build/BUILD/llvm-2.6/tools/clang/test/CodeGen/stack-protector.c
( 321 of 1463)
................................................................................
[cut]
...........................................
FAIL: /builddir/build/BUILD/llvm-2.6/tools/clang/test/Sema/static-init.c (1004
of 1463)
................................................................................
[cut]
..........................................................
Testing Time: 8.02s
********************
Failing Tests (5):
    /builddir/build/BUILD/llvm-2.6/tools/clang/test/Analysis/retain-release.m
    /builddir/build/BUILD/llvm-2.6/tools/clang/test/CodeGen/const-init.c
    /builddir/build/BUILD/llvm-2.6/tools/clang/test/CodeGen/mmintrin-test.c
    /builddir/build/BUILD/llvm-2.6/tools/clang/test/CodeGen/stack-protector.c
    /builddir/build/BUILD/llvm-2.6/tools/clang/test/Sema/static-init.c
Failures: 5

Note that while we just branched off our F-12 distribution, our Rawhide tree
right now is pretty much identical to what will become F-12.
Quuxplusone commented 14 years ago

Attached root.log (49269 bytes, application/octet-stream): Logfile showing build dependencies installed for i686

Quuxplusone commented 14 years ago

Attached root64.log (49804 bytes, application/octet-stream): Logfile showing build dependencies installed for x86_64

Quuxplusone commented 14 years ago
Still fails with 2.6 final on Gentoo:
http://bugs.gentoo.org/show_bug.cgi?id=294689

make[1]: entrant dans le répertoire « /var/tmp/portage/sys-devel/clang-2.6-
r1/work/llvm-2.6/tools/clang/test »
--- Running clang tests for x86_64-pc-linux-gnu ---
FAIL: /var/tmp/portage/sys-devel/clang-2.6-r1/work/llvm-
2.6/tools/clang/test/Analysis/retain-release.m (  64 of 1463)
******************** TEST '/var/tmp/portage/sys-devel/clang-2.6-r1/work/llvm-
2.6/tools/clang/test/Analysis/retain-release.m' FAILED ********************
Script:
--
/var/tmp/portage/sys-devel/clang-2.6-r1/work/llvm-2.6/Release-Asserts/bin/clang-
cc -triple x86_64-apple-darwin10 -analyze -checker-cfref -analyzer-store=basic -
verify /var/tmp/portage/sys-devel/clang-2.6-r1/work/llvm-
2.6/tools/clang/test/Analysis/retain-release.m
/var/tmp/portage/sys-devel/clang-2.6-r1/work/llvm-2.6/Release-Asserts/bin/clang-
cc -triple x86_64-apple-darwin10 -analyze -checker-cfref -analyzer-store=region
-verify /var/tmp/portage/sys-devel/clang-2.6-r1/work/llvm-
2.6/tools/clang/test/Analysis/retain-release.m
--
Exit Code: 139
Command Output (stdout):
--
--
Command Output (stderr):
--
ANALYZE: /var/tmp/portage/sys-devel/clang-2.6-r1/work/llvm-
2.6/tools/clang/test/Analysis/retain-release.m CFRangeMake
ANALYZE: /var/tmp/portage/sys-devel/clang-2.6-r1/work/llvm-
2.6/tools/clang/test/Analysis/retain-release.m f1
ANALYZE: /var/tmp/portage/sys-devel/clang-2.6-r1/work/llvm-
2.6/tools/clang/test/Analysis/retain-release.m f2
ANALYZE: /var/tmp/portage/sys-devel/clang-2.6-r1/work/llvm-
2.6/tools/clang/test/Analysis/retain-release.m f3
ANALYZE: /var/tmp/portage/sys-devel/clang-2.6-r1/work/llvm-
2.6/tools/clang/test/Analysis/retain-release.m f5
ANALYZE: /var/tmp/portage/sys-devel/clang-2.6-r1/work/llvm-
2.6/tools/clang/test/Analysis/retain-release.m f6
ANALYZE: /var/tmp/portage/sys-devel/clang-2.6-r1/work/llvm-
2.6/tools/clang/test/Analysis/retain-release.m f7
ANALYZE: /var/tmp/portage/sys-devel/clang-2.6-r1/work/llvm-
2.6/tools/clang/test/Analysis/retain-release.m f8
ANALYZE: /var/tmp/portage/sys-devel/clang-2.6-r1/work/llvm-
2.6/tools/clang/test/Analysis/retain-release.m f9
ANALYZE: /var/tmp/portage/sys-devel/clang-2.6-r1/work/llvm-
2.6/tools/clang/test/Analysis/retain-release.m f10
ANALYZE: /var/tmp/portage/sys-devel/clang-2.6-r1/work/llvm-
2.6/tools/clang/test/Analysis/retain-release.m f11
ANALYZE: /var/tmp/portage/sys-devel/clang-2.6-r1/work/llvm-
2.6/tools/clang/test/Analysis/retain-release.m f12
ANALYZE: /var/tmp/portage/sys-devel/clang-2.6-r1/work/llvm-
2.6/tools/clang/test/Analysis/retain-release.m f13_autorelease
ANALYZE: /var/tmp/portage/sys-devel/clang-2.6-r1/work/llvm-
2.6/tools/clang/test/Analysis/retain-release.m f13_autorelease_b
0   clang-cc        0x00000000010aa4df
1   clang-cc        0x00000000010aab3c
2   libpthread.so.0 0x00002af67203c7a0
Stack dump:
0.  Program arguments: /var/tmp/portage/sys-devel/clang-2.6-r1/work/llvm-
2.6/Release-Asserts/bin/clang-cc -triple x86_64-apple-darwin10 -analyze -
checker-cfref -analyzer-store=basic -verify /var/tmp/portage/sys-devel/clang-
2.6-r1/work/llvm-2.6/tools/clang/test/Analysis/retain-release.m
1.  /var/tmp/portage/sys-devel/clang-2.6-r1/work/llvm-
2.6/tools/clang/test/Analysis/retain-release.m:397:1: current parser token
'CFMutableArrayRef'
/var/tmp/portage/sys-devel/clang-2.6-r1/work/llvm-
2.6/tools/clang/test/Output/Analysis/retain-release.m.script: line 2: 27170
Segmentation fault      /var/tmp/portage/sys-devel/clang-2.6-r1/work/llvm-
2.6/Release-Asserts/bin/clang-cc -triple x86_64-apple-darwin10 -analyze -
checker-cfref -analyzer-store=basic -verify /var/tmp/portage/sys-devel/clang-
2.6-r1/work/llvm-2.6/tools/clang/test/Analysis/retain-release.m
--

********************
Testing Time: 20.30s
********************
Failing Tests (1):
    /var/tmp/portage/sys-devel/clang-2.6-r1/work/llvm-2.6/tools/clang/test/Analysis/retain-release.m

Failures: 1
Quuxplusone commented 14 years ago

This is unfortunate that the static analyzer tests fail in the 2.6 release. These tests should pass in TOT, and if they don't pass on Gentoo that is a real bug. The static analyzer bits in 2.6 should not be considered as a "stable" release of the analyzer, so these tests failing, while sloppy, is not a reflection on the overall quality on the compiler aspects of the 2.6 release. Since we're not going to modify the analyzer bits for the 2.6 release, probably the best thing to do is to disable those tests.

Chris: What do you think?

Quuxplusone commented 14 years ago

Our next scheduled release is 2.7, we don't modify the posted release bits once they are up.

Quuxplusone commented 14 years ago
Ok, I will disable this test in clang-2.6 ebuild then, thanks!

FYI, the (release) backtrace when run through gdb

ANALYZE: /var/tmp/portage/sys-devel/clang-2.6-r1/work/llvm-
2.6/tools/clang/test/Analysis/retain-release.m f13_autorelease_b

Program received signal SIGSEGV, Segmentation fault.
0x0000000000015d60 in ?? ()
(gdb) bt
#0  0x0000000000015d60 in ?? ()
#1  0x0000000000575756 in clang::BugReport::getLocation() const ()
#2  0x000000000057d84a in clang::BugReport::Profile(llvm::FoldingSetNodeID&)
const ()
#3  0x000000000057d3e0 in clang::BugReporter::EmitReport(clang::BugReport*) ()
#4  0x000000000059066f in (anonymous
namespace)::CFRefCount::HandleAutoreleaseCounts(clang::GRState const*,
(anonymous namespace)::GenericNodeBuilder, clang::ExplodedNode*,
clang::GRExprEngine&, clang::SymbolData const*, (anonymous namespace)::RefVal,
bool&) ()
#5  0x0000000000591420 in (anonymous
namespace)::CFRefCount::EvalEndPath(clang::GRExprEngine&,
clang::GREndPathNodeBuilder&) ()
#6  0x00000000005c4f57 in
clang::GRExprEngine::ProcessEndPath(clang::GREndPathNodeBuilder&) ()
#7  0x00000000005b4759 in clang::GRCoreEngine::HandleBlockEdge(clang::BlockEdge
const&, clang::ExplodedNode*) ()
#8  0x00000000005b582e in
clang::GRCoreEngine::ExecuteWorkList(clang::LocationContext const*, unsigned
int) ()
#9  0x000000000042107f in ActionGRExprEngine(clang::AnalysisManager&,
clang::GRTransferFuncs*, bool) ()
#10 0x00000000004202ba in (anonymous
namespace)::AnalysisConsumer::HandleCode(clang::Decl*, clang::Stmt*,
std::vector<void (*)(clang::AnalysisManager&), std::allocator<void
(*)(clang::AnalysisManager&)> >&) ()
#11 0x0000000000420649 in (anonymous
namespace)::AnalysisConsumer::HandleTopLevelDecl(clang::DeclGroupRef) ()
#12 0x000000000060ca15 in clang::ParseAST(clang::Preprocessor&,
clang::ASTConsumer*, clang::ASTContext&, bool, bool) ()
#13 0x0000000000412a5c in ProcessInputFile(clang::Preprocessor&,
clang::PreprocessorFactory&, std::string const&, ProgActions,
llvm::StringMap<bool, llvm::MallocAllocator> const&, llvm::LLVMContext&) ()
#14 0x0000000000416e49 in main ()