Razer6 / language-vhdl

VHDL language support in Atom
16 stars 15 forks source link

Block commenting incorrect #8

Closed pjvalla closed 9 years ago

pjvalla commented 9 years ago

When trying to block comment code it seems to be using the default atom block commenting.

/_library ieee; use ieee.std_logic_1164.all; use ieee.numericstd.all; use std.textio.all;/

Instead of what I expected

--library ieee; --use ieee.std_logic_1164.all; --use ieee.numeric_std.all; --use std.textio.all;

Razer6 commented 9 years ago

Thanks. It's fixed and a new version is released