Closed pjvalla closed 9 years ago
When trying to block comment code it seems to be using the default atom block commenting.
/_library ieee; use ieee.std_logic_1164.all; use ieee.numericstd.all; use std.textio.all;/
Instead of what I expected
--library ieee; --use ieee.std_logic_1164.all; --use ieee.numeric_std.all; --use std.textio.all;
Thanks. It's fixed and a new version is released
When trying to block comment code it seems to be using the default atom block commenting.
/_library ieee; use ieee.std_logic_1164.all; use ieee.numericstd.all; use std.textio.all;/
Instead of what I expected
--library ieee; --use ieee.std_logic_1164.all; --use ieee.numeric_std.all; --use std.textio.all;