When using paste as signal, the alignment seems to fail on the final line. A sample:
-- Testbench signals
signal clk : std_logic;
signal reset : std_logic;
signal ttimer_ext_rst : std_logic;
signal ttimer_ext_rst_en : std_logic;
signal tick_timer : std_logic_vector(31 downto 0);
signal tick10_irq : std_logic;
signal ttimer_rst_irq : std_logic ;
When using paste as signal, the alignment seems to fail on the final line. A sample: