Remillard / VHDL-Mode

A package for Sublime Text that aids coding in the VHDL language.
MIT License
39 stars 10 forks source link

Fixed #104 Finally -- Woohoo! #137

Closed Remillard closed 5 years ago