Remillard / VHDL-Mode

A package for Sublime Text that aids coding in the VHDL language.
MIT License
39 stars 10 forks source link

161 Keyword `return` not scoped inside function #164

Closed Remillard closed 3 years ago