The syntax scoping for function does not support the closing word properly. For:
-- Padding function
function make_miso_word (d_in : std_logic_vector)
return std_logic_vector is
variable d_out : std_logic_vector(C_SPI_DATA_LEN-1 downto 0);
begin
end function make_miso_word;
The final make_miso_word will be scoped as source.vhdl meta.block.package.body.vhdl meta.block.function.body.vhdl entity.name.procedure.vhdl but should be scoped as the opening identifier: source.vhdl meta.block.package.body.vhdl meta.block.function.specification.vhdl entity.name.function.vhdl
The syntax scoping for function does not support the closing word properly. For:
The final
make_miso_word
will be scoped assource.vhdl meta.block.package.body.vhdl meta.block.function.body.vhdl entity.name.procedure.vhdl
but should be scoped as the opening identifier:source.vhdl meta.block.package.body.vhdl meta.block.function.specification.vhdl entity.name.function.vhdl