TerosTechnology / colibri

https://terostechnology.github.io/colibri
Other
17 stars 5 forks source link

Port name detection error for buses. #160

Closed tarsJr closed 3 years ago

tarsJr commented 3 years ago

Ports declared as

code

render as

image

in the documentation.

smgl9 commented 3 years ago

Thank you for the feedback!

That was a bug in older versions but it is supposed to have been solved in the last release. Can you confirm that you are using TerosHDL 0.0.8? Have you installed the plugin from the vscode marketplace?

tarsJr commented 3 years ago

@smgl9 Yup. I've tried TerosHDL 0.0.8 on VS Code on both Windows and Linux machines, only to get the same result.

smgl9 commented 3 years ago

Ok,

Could you provide us a piece of code (omitting confidential/unnecessary parts) that is failing you? Because we have some test working fine with the same case of use of your screenshot

tarsJr commented 3 years ago

Please find the code here.

Edit: I have now tested it on VS Code as well as VS Codium ver. 1.52. Both show the same issue mentioned above.

Edit: Document generation is failing for all port declarations not only in this file, but throughout the project.

smgl9 commented 3 years ago

I found the bug. It will be solved for the next release. Thank you!

tarsJr commented 3 years ago

Thank you, @smgl9