TerosTechnology / colibri

https://terostechnology.github.io/colibri
Other
17 stars 5 forks source link

virtual bus bug #199

Closed smgl9 closed 3 years ago

smgl9 commented 3 years ago

Virtual bus @end keyword is not detected when it's in the last line of the entity.

   port (
      axis_aclk : in std_logic;
      axis_arst : in std_logic;
      --! @virtualbus axis_in @dir in input data with zero padding
      s_axis_tready : out std_logic;
      s_axis_tvalid : in std_logic;
      s_axis_tdata  : in std_logic_vector(channels_g * axis_tdata_width_in_g - 1 downto 0);
      s_axis_tlast  : in std_logic;
      s_axis_tuser  : in std_logic_vector(axis_tuser_width_g - 1 downto 0);
      --! @virtualbus axis_out @dir out output data with padding filtered
      m_axis_tready : in std_logic;
      m_axis_tvalid : out std_logic;
      m_axis_tdata  : out std_logic_vector(2 * 16 * channels_g - 1 downto 0);
      m_axis_tlast  : out std_logic;
      m_axis_tuser  : out std_logic_vector(axis_tuser_width_g - 1 downto 0)
      --! @end
      );