The-OpenROAD-Project / OpenLane

OpenLane is an automated RTL to GDSII flow based on several components including OpenROAD, Yosys, Magic, Netgen and custom methodology scripts for design exploration and optimization.
https://openlane.readthedocs.io/
Apache License 2.0
1.25k stars 365 forks source link

Support VERILOG_INCLUDE_DIRS in linter #2046

Closed piotro888 closed 7 months ago

piotro888 commented 7 months ago

Adds support for VERILOG_INCLUDE_DIRS option to Verilator linter (in synthesis.tcl command run_verilator)

This option is already supported in synthesis by yosys, so it would be nice if it would also work with linter. Without it, some designs that are synthesized fine, cannot pass the linter.

If preferred, this option could be renamed to LINTER_INCLUDE_DIRS, as a independent option from yosys one. I'm not sure which one would fit better.