The-OpenROAD-Project / OpenLane

OpenLane is an automated RTL to GDSII flow based on several components including OpenROAD, Yosys, Magic, Netgen and custom methodology scripts for design exploration and optimization.
https://openlane.readthedocs.io/
Apache License 2.0
1.31k stars 368 forks source link

[ERROR]: There are violations in the design after Magic DRC. #2058

Closed tdtu1002 closed 9 months ago

tdtu1002 commented 10 months ago

I'm a newbie and I'm having problems with my design. when running Magic DRC. Magic says I have no DRC errors but there are 56000 violations, what are the violations here? how to fix it ? thanks

image image image

d-m-bailey commented 10 months ago

Are you using openram? openram has different rules - normal drc will flag a lot of errors. You might try temporarily replacing the sram mag file with maglef.

tdtu1002 commented 10 months ago

i follow openlane flow, and it generate this above image :(((

tdtu1002 commented 10 months ago

Are you using openram? openram has different rules - normal drc will flag a lot of errors. You might try temporarily replacing the sram mag file with maglef.

how can i replace mag to maglef, SRAM i have gds, lef and lib file.

d-m-bailey commented 10 months ago

For running DRC in magic, I think you load the maglef views of the sram first and then load your design and then run DRC. maglef views may still have drc errors, but I think they're just false wide metal spacing and maybe invalid overlaps. @RTimothyEdwards ?

RTimothyEdwards commented 10 months ago

There are multiple ways of doing it, but it depends on whether your run DRC on a .mag file or a .gds file. If it's a .mag file then you can either (1) load the maglef view of the SRAM or load the LEF view of the SRAM first, then load the design, which is what Mitch suggested above, or (2) load the design, then use cellname filepath to change the location of the SRAM to the maglef directory before expanding; and if it's being run from GDS, then (3) load the maglef view of the SRAM first and set gds noduplicates true before reading the GDS. Even after expansion, you can still replace the SRAM with cellname filepath followed by flush.

kareefardi commented 9 months ago

@tdtu1002 can you upload a GDS or a DEF file ?

tdtu1002 commented 9 months ago

@tdtu1002 can you upload a GDS or a DEF file ?

thanks so much everyone, i solve it by add an options to my config.tcl "MAGIC_DRC_USE_GDS" : 0,