The-OpenROAD-Project / OpenROAD-flow-scripts

OpenROAD's scripts implementing an RTL-to-GDS Flow. Documentation at https://openroad-flow-scripts.readthedocs.io/en/latest/
https://theopenroadproject.org/
Other
313 stars 275 forks source link

Failed at global placement stage 3_3_place_gp.log #1190

Open vishallparmar25 opened 1 year ago

vishallparmar25 commented 1 year ago

Subject

ORFS failed at global placement stage [Stage]: Global Placement.

Describe the bug

[NesterovSolve] Iter: 310 overflow: 0.813046 HPWL: 5619862180 Command terminated by signal 11 Elapsed time: 40:17.33[h:]min:sec. CPU time: user 2400.71 sys 16.37 (99%). Peak memory: 8148768KB.

Global placement failed with above error, run is not moving forward.

below is config of that design image

Expected Behavior

[NesterovSolve] Finished with Overflow: 0.099319

Environment

ubuntu@ip-172-31-10-38:~/Opensource/OpenROAD-flow-scripts/tools/OpenROAD$ ./etc/Env.sh 
[WARNING] Your current OpenROAD version is outdated.
It is recommened to pull the latest changes.
If problem persists, file a github issue with the re-producible test case.
kernel: Linux 5.19.0-1027-aws
os: Ubuntu 22.04.2 LTS (Jammy Jellyfish)
cmake version 3.24.2
-- The CXX compiler identification is GNU 11.3.0
-- Detecting CXX compiler ABI info
-- Detecting CXX compiler ABI info - done
-- Check for working CXX compiler: /usr/bin/c++ - skipped
-- Detecting CXX compile features
-- Detecting CXX compile features - done
-- OpenROAD version: v2.0-8118-gb95ce9901
-- System name: Linux
-- Compiler: GNU 11.3.0
-- Build type: RELEASE
-- Install prefix: /usr/local
-- C++ Standard: 17
-- C++ Standard Required: ON
-- C++ Extensions: OFF
-- Found GTest: /usr/lib/x86_64-linux-gnu/cmake/GTest/GTestConfig.cmake (found version "1.11.0")  
-- The C compiler identification is GNU 11.3.0
-- Detecting C compiler ABI info
-- Detecting C compiler ABI info - done
-- Check for working C compiler: /usr/bin/cc - skipped
-- Detecting C compile features
-- Detecting C compile features - done
-- Performing Test C_COMPILER_SUPPORTS__-Wall
-- Performing Test C_COMPILER_SUPPORTS__-Wall - Success
-- Performing Test CXX_COMPILER_SUPPORTS__-Wall
-- Performing Test CXX_COMPILER_SUPPORTS__-Wall - Success
-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds
-- Performing Test C_COMPILER_SUPPORTS__-Wno-array-bounds - Success
-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds
-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-array-bounds - Success
-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull
-- Performing Test C_COMPILER_SUPPORTS__-Wno-nonnull - Success
-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull
-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-nonnull - Success
-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized
-- Performing Test C_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success
-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized
-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-maybe-uninitialized - Success
-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow
-- Performing Test C_COMPILER_SUPPORTS__-Wno-format-overflow - Success
-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow
-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format-overflow - Success
-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable
-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-variable - Success
-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable
-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-variable - Success
-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function
-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-function - Success
-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function
-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-function - Success
-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings
-- Performing Test C_COMPILER_SUPPORTS__-Wno-write-strings - Success
-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings
-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-write-strings - Success
-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare
-- Performing Test C_COMPILER_SUPPORTS__-Wno-sign-compare - Success
-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare
-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-sign-compare - Success
-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated
-- Performing Test C_COMPILER_SUPPORTS__-Wno-deprecated - Success
-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated
-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-deprecated - Success
-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing
-- Performing Test C_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed
-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing
-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-c++11-narrowing - Failed
-- Performing Test C_COMPILER_SUPPORTS__-Wno-register
-- Performing Test C_COMPILER_SUPPORTS__-Wno-register - Failed
-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register
-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-register - Success
-- Performing Test C_COMPILER_SUPPORTS__-Wno-format
-- Performing Test C_COMPILER_SUPPORTS__-Wno-format - Success
-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format
-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-format - Success
-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal
-- Performing Test C_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed
-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal
-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-reserved-user-defined-literal - Failed
-- Performing Test C_COMPILER_SUPPORTS__-fpermissive
-- Performing Test C_COMPILER_SUPPORTS__-fpermissive - Failed
-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive
-- Performing Test CXX_COMPILER_SUPPORTS__-fpermissive - Success
-- Performing Test C_COMPILER_SUPPORTS__-x
-- Performing Test C_COMPILER_SUPPORTS__-x - Failed
-- Performing Test CXX_COMPILER_SUPPORTS__-x
-- Performing Test CXX_COMPILER_SUPPORTS__-x - Failed
-- Performing Test C_COMPILER_SUPPORTS__c++
-- Performing Test C_COMPILER_SUPPORTS__c++ - Failed
-- Performing Test CXX_COMPILER_SUPPORTS__c++
-- Performing Test CXX_COMPILER_SUPPORTS__c++ - Failed
-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable
-- Performing Test C_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success
-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable
-- Performing Test CXX_COMPILER_SUPPORTS__-Wno-unused-but-set-variable - Success
-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so
-- TCL header: /usr/include/tcl/tcl.h
-- TCL readline library: /usr/lib/x86_64-linux-gnu/libtclreadline.so
-- TCL readline header: /usr/include/x86_64-linux-gnu
-- Found SWIG: /usr/local/bin/swig (found suitable version "4.1.0", minimum required is "3.0")  
-- Using SWIG >= 4.1.0 -flatstaticmethod flag for python
-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version "1.80.0")  
-- boost: 1.80.0
-- Found Python3: /usr/include/python3.10 (found version "3.10.6") found components: Development Development.Module Development.Embed 
-- Found ZLIB: /usr/lib/x86_64-linux-gnu/libz.so (found version "1.2.11") 
-- Found Threads: TRUE  
-- spdlog: 1.8.1
-- Found BISON: /usr/bin/bison (found version "3.8.2") 
-- Could NOT find Doxygen (missing: DOXYGEN_EXECUTABLE) 
-- STA version: 2.4.0
-- STA git sha: 555493cba6e476bd2ff0b9a543de7a781276c2b3
-- System name: Linux
-- Compiler: GNU 11.3.0
-- Build type: RELEASE
-- Build CXX_FLAGS: -O3 -DNDEBUG
-- Install prefix: /usr/local
-- Found FLEX: /usr/bin/flex (found version "2.6.4") 
-- TCL library: /usr/lib/x86_64-linux-gnu/libtcl.so
-- TCL header: /usr/include/tcl/tcl.h
-- SSTA: 0
-- STA executable: /home/ubuntu/Opensource/OpenROAD-flow-scripts/tools/OpenROAD/src/sta/app/sta
-- GPU is not enabled
-- Found re2: /opt/or-tools/lib/cmake/re2/re2Config.cmake (found version "9.0.0") 
-- Found Clp: /opt/or-tools/lib/cmake/Clp/ClpConfig.cmake (found version "1.17.7") 
-- Found Cbc: /opt/or-tools/lib/cmake/Cbc/CbcConfig.cmake (found version "2.10.7") 
-- Found Eigen3: /usr/local/share/eigen3/cmake/Eigen3Config.cmake (found version "3.4.0") 
-- Found SCIP: /opt/or-tools/lib/cmake/scip/scip-config.cmake (found version "8.0.1") 
-- GUI is enabled
-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version "1.80.0") found components: serialization 
-- Found OpenMP_CXX: -fopenmp (found version "4.5") 
-- Found OpenMP: TRUE (found version "4.5")  
-- Could NOT find VTune (missing: VTune_LIBRARIES VTune_INCLUDE_DIRS) 
-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found suitable version "1.80.0", minimum required is "1.78")  
-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version "1.80.0") found components: serialization system thread 
-- Found Boost: /usr/local/lib/cmake/Boost-1.80.0/BoostConfig.cmake (found version "1.80.0")  
-- TCL readline enabled
-- Tcl Extended disabled
-- Python3 enabled
-- Configuring done
-- Generating done
-- Build files have been written to: /tmp/tmp.cMNb5fVXYM

To Reproduce

export RCX_RULES='./platforms/asap7/rcx_patterns.rules' export DESIGN_NAME='riscv_top' export SDC_FILE='./designs/asap7/riscv_top/constraint.sdc' export IO_PLACER_H='M4' export GDS_FILES='./platforms/asap7/gds/asap7sc7p5t_28_R_220121a.gds' export MAKE_TRACKS='./platforms/asap7/openRoad/make_tracks.tcl' export NPROC='4' export TAP_CELL_NAME='TAPCELL_ASAP7_75t_R' export HIER_REPORT_SCRIPT='./scripts/synth_hier_report.tcl' export RTLMP_MIN_INST='5000' export RTLMP_FLOW='True' export CTS_BUF_CELL='BUFx4_ASAP7_75t_R' export STREAM_SYSTEM='GDS' export SYNTH_HIERARCHICAL='1' export LATCH_MAP_FILE='./platforms/asap7/yoSys/cells_latch_R.v' export TC_VOLTAGE='0.70' export WC_DFF_LIB_FILE='./platforms/asap7/lib/asap7sc7p5t_SEQ_RVT_SS_nldm_220123.lib' export TAPCELL_TCL='./platforms/asap7/openRoad/tapcell.tcl' export BC_VOLTAGE='0.77' export VOLTAGE='0.77' export TCLLIBPATH='util/cell-veneer' export GPL_ROUTABILITY_DRIVEN='1' export WC_TEMPERATURE='100C' export WC_LIB_FILES='./platforms/asap7/lib/asap7sc7p5t_AO_RVT_SS_nldm_211120.lib.gz ./platforms/asap7/lib/asap7sc7p5t_INVBUF_RVT_SS_nldm_220122.lib.gz ./platforms/asap7/lib/asap7sc7p5t_OA_RVT_SS_nldm_211120.lib.gz ./platforms/asap7/lib/asap7sc7p5t_SEQ_RVT_SS_nldm_220123.lib ./platforms/asap7/lib/asap7sc7p5t_SIMPLE_RVT_SS_nldm_211120.lib.gz' export OBJECTS_DIR='./objects/asap7/riscv_top/base' export DESIGN_NICKNAME='riscv_top' export ENABLE_DPO='1' export BC_TEMPERATURE='25C' export HAS_IO_CONSTRAINTS='1' export YOSYS_CMD='/home/ubuntu/Opensource/OpenROAD-flow-scripts/tools/install/yosys/bin/yosys' export RTLMP_RPT_DIR='./objects/asap7/riscv_top/base/rtlmp' export SYNTH_SCRIPT='./scripts/synth.tcl' export ABC_DRIVER_CELL='BUFx2_ASAP7_75t_R' export PWR_NETS_VOLTAGES='"VDD 0.77"' export BC_LIB_FILES='./platforms/asap7/lib/asap7sc7p5t_AO_RVT_FF_nldm_211120.lib.gz ./platforms/asap7/lib/asap7sc7p5t_INVBUF_RVT_FF_nldm_220122.lib.gz ./platforms/asap7/lib/asap7sc7p5t_OA_RVT_FF_nldm_211120.lib.gz ./platforms/asap7/lib/asap7sc7p5t_SIMPLE_RVT_FF_nldm_211120.lib.gz ./platforms/asap7/lib/asap7sc7p5t_SEQ_RVT_FF_nldm_220123.lib' export CURDIR='/home/ubuntu/Opensource/OpenROAD-flow-scripts/flow' export TIELO_CELL_AND_PORT='TIELOx1_ASAP7_75t_R L' export DESIGN_HOME='./designs' export SCRIPTS_DIR='./scripts' export IO_PLACER_V='M5' export MAX_UNGROUP_SIZE='0' export RESYNTH_TIMING_RECOVER='0' export SYNTH_ARGS='-flatten' export ABC_AREA='1' export RTLMP_MIN_MACRO='1' export CTS_BUF_DISTANCE='60' export LSORACLE_PLUGIN='/home/ubuntu/Opensource/OpenROAD-flow-scripts/tools/install/yosys/share/yosys/plugin/oracle.so' export LOG_DIR='./logs/asap7/riscv_top/base' export CELL_PAD_IN_SITES_DETAIL_PLACEMENT='1' export TECH_LEF='./platforms/asap7/lef/asap7_tech_1x_201209.lef' export GND_NETS_VOLTAGES='"VSS 0.0"' export DIE_AREA='0 0 1000 1000' export RESYNTH_AREA_RECOVER='0' export ISSUE_SCRIPTS='add_routing_blk cdl cts deleteNonClkNets deletePowerNets deleteRoutingObstructions density_fill detail_place detail_route fillcell final_report floorplan generate_abstract global_place global_place_skip_io global_route gui io_placement io_placement_random klayout load macro_place pdn placement_blockages read_liberty read_macro_placement report_metrics resize run_all save_images synth synth_hier_report synth_preamble tapcell tdms_place view_cells write_def write_ref_sdc write_verilog yosys' export PLATFORM='asap7' export LIB_FILES='./platforms/asap7/lib/asap7sc7p5t_AO_RVT_FF_nldm_211120.lib.gz ./platforms/asap7/lib/asap7sc7p5t_INVBUF_RVT_FF_nldm_220122.lib.gz ./platforms/asap7/lib/asap7sc7p5t_OA_RVT_FF_nldm_211120.lib.gz ./platforms/asap7/lib/asap7sc7p5t_SIMPLE_RVT_FF_nldm_211120.lib.gz ./platforms/asap7/lib/asap7sc7p5t_SEQ_RVT_FF_nldm_220123.lib' export SET_RC_TCL='./platforms/asap7/setRC.tcl' export GALLERY_REPORT='0' export SHELL='/usr/bin/env bash' export FILL_CELLS='FILLERxp5_ASAP7_75t_R FILLER_ASAP7_75t_R DECAPx1_ASAP7_75t_R DECAPx2_ASAP7_75t_R DECAPx4_ASAP7_75t_R DECAPx6_ASAP7_75t_R DECAPx10_ASAP7_75t_R' export OPENROAD_NO_EXIT_CMD='/home/ubuntu/Opensource/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad -no_init' export OPENROAD_EXE='/home/ubuntu/Opensource/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad' export TNS_END_PERCENT='5' export RTLMP_MAX_MACRO='4' export GPL_TIMING_DRIVEN='1' export CELL_PAD_IN_SITES_GLOBAL_PLACEMENT='2' export MIN_BUF_CELL_AND_PORTS='BUFx2_ASAP7_75t_R A Y' export PLACE_DENSITY='0.6' export RESULTS_DIR='./results/asap7/riscv_top/base' export STDBUF_CMD='stdbuf -o L' export CLKGATE_MAP_FILE='./platforms/asap7/yoSys/cells_clkgate_R.v' export REPORTS_DIR='./reports/asap7/riscv_top/base' export PLATFORM_DIR='./platforms/asap7' export PLATFORM_HOME='./platforms' export GDSOAS_FILES='./platforms/asap7/gds/asap7sc7p5t_28_R_220121a.gds' export LSORACLE_KAHYPAR_CONFIG='/home/ubuntu/Opensource/OpenROAD-flow-scripts/tools/install/LSOracle/share/lsoracle/test.ini' export WORK_HOME='.' export TIEHI_CELL_AND_PORT='TIEHIx1_ASAP7_75t_R H' export DESIGN_CONFIG='./designs/asap7/riscv_top/config.mk' export DONT_USE_SC_LIB='./objects/asap7/riscv_top/base/lib/merged.lib' export BC_DFF_LIB_FILE='./platforms/asap7/lib/asap7sc7p5t_SEQ_RVT_FF_nldm_220123.lib' export CORE_AREA='5 5 995 995' export STREAM_SYSTEM_EXT='gds' export TC_TEMPERATURE='0C' export ADDER_MAP_FILE='./platforms/asap7/yoSys/cells_adders_R.v' export LSORACLE_CMD='/home/ubuntu/Opensource/OpenROAD-flow-scripts/tools/install/LSOracle/bin/lsoracle' export PLACE_SITE='asap7sc7p5t' export YOSYS_FLAGS='-v 3' export SYNTH_STOP_MODULE_SCRIPT='./objects/asap7/riscv_top/base/mark_hier_stop_modules.tcl' export RTLMP_MAX_INST='10000' export DESIGN_DIR='./designs/asap7/riscv_top/' export TEMPERATURE='25C' export TC_LIB_FILES='./platforms/asap7/lib/asap7sc7p5t_AO_RVT_TT_nldm_211120.lib.gz ./platforms/asap7/lib/asap7sc7p5t_INVBUF_RVT_TT_nldm_220122.lib.gz ./platforms/asap7/lib/asap7sc7p5t_OA_RVT_TT_nldm_211120.lib.gz ./platforms/asap7/lib/asap7sc7p5t_SEQ_RVT_TT_nldm_220123.lib ./platforms/asap7/lib/asap7sc7p5t_SIMPLE_RVT_TT_nldm_211120.lib.gz' export FLOW_HOME='.' export MACRO_PLACE_CHANNEL='12 12' export DONT_USE_CELLS='x1p_ASAP7 xp_ASAP7 SDF ICG DFFH' export SC_LEF='./platforms/asap7/lef/asap7sc7p5t_28_R_1x_220121a.lef' export RESULTS_ODB='2_1_floorplan.odb 2_2_floorplan_io.odb 2_3_floorplan_tdms.odb 2_4_floorplan_macro.odb 2_5_floorplan_tapcell.odb 2_6_floorplan_pdn.odb 2_floorplan.odb 3_1_place_gp_skip_io.odb 3_2_place_iop.odb' export TEST_DIR='./test' export MACRO_PLACE_HALO='10 10' export DONT_USE_LIBS=' ./objects/asap7/riscv_top/base/lib/asap7sc7p5t_AO_RVT_FF_nldm_211120.lib ./objects/asap7/riscv_top/base/lib/asap7sc7p5t_INVBUF_RVT_FF_nldm_220122.lib ./objects/asap7/riscv_top/base/lib/asap7sc7p5t_OA_RVT_FF_nldm_211120.lib ./objects/asap7/riscv_top/base/lib/asap7sc7p5t_SIMPLE_RVT_FF_nldm_211120.lib ./objects/asap7/riscv_top/base/lib/asap7sc7p5t_SEQ_RVT_FF_nldm_220123.lib' export PUBLIC='nangate45 sky130hd sky130hs asap7' export WRAP_CFG='./platforms/asap7/wrapper.cfg' export DPO_MAX_DISPLACEMENT='5 1' export GDS_FINAL_FILE='./results/asap7/riscv_top/base/6_final.gds' export VERILOG_FILES='./designs/src/riscv_top/biriscv_alu.v ./designs/src/riscv_top/biriscv_csr.v ./designs/src/riscv_top/biriscv_csr_regfile.v ./designs/src/riscv_top/biriscv_decode.v ./designs/src/riscv_top/biriscv_decoder.v ./designs/src/riscv_top/biriscv_defs.v ./designs/src/riscv_top/biriscv_divider.v ./designs/src/riscv_top/biriscv_exec.v ./designs/src/riscv_top/biriscv_fetch.v ./designs/src/riscv_top/biriscv_frontend.v ./designs/src/riscv_top/biriscv_issue.v ./designs/src/riscv_top/biriscv_lsu.v ./designs/src/riscv_top/biriscv_mmu.v ./designs/src/riscv_top/biriscv_multiplier.v ./designs/src/riscv_top/biriscv_npc.v ./designs/src/riscv_top/biriscv_pipe_ctrl.v ./designs/src/riscv_top/biriscv_regfile.v ./designs/src/riscv_top/biriscv_trace_sim.v ./designs/src/riscv_top/biriscv_xilinx_2r1w.v ./designs/src/riscv_top/dcache.v ./designs/src/riscv_top/dcache_axi.v ./designs/src/riscv_top/dcache_axi_axi.v ./designs/src/riscv_top/dcache_core.v ./designs/src/riscv_top/dcache_core_data_ram.v ./designs/src/riscv_top/dcache_core_tag_ram.v ./designs/src/riscv_top/dcache_if_pmem.v ./designs/src/riscv_top/dcache_mux.v ./designs/src/riscv_top/dcache_pmem_mux.v ./designs/src/riscv_top/dport_axi.v ./designs/src/riscv_top/dport_mux.v ./designs/src/riscv_top/icache.v ./designs/src/riscv_top/icache_data_ram.v ./designs/src/riscv_top/icache_tag_ram.v ./designs/src/riscv_top/riscv_core.v ./designs/src/riscv_top/riscv_tcm_top.v ./designs/src/riscv_top/riscv_top.v ./designs/src/riscv_top/tcm_mem.v ./designs/src/riscv_top/tcm_mem_pmem.v ./designs/src/riscv_top/tcm_mem_ram.v' export PDN_TCL='./platforms/asap7/openRoad/pdn/grid_strategy-M1-M2-M5-M6.tcl' export TIME_TEST='foo' export UTILS_DIR='./util' export ABC_CLOCK_PERIOD_IN_PS='1000' export MIN_ROUTING_LAYER='M2' export PROCESS='7' export GDS_MERGED_FILE='./results/asap7/riscv_top/base/6_1_merged.gds' export TEST_SCRIPT='./test/core_tests.sh' export NUM_CORES='4' export OPENROAD_CMD='/home/ubuntu/Opensource/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad -exit -no_init' export ABC_LOAD_IN_FF='3.898' export MAX_ROUTING_LAYER='M9' export CORNER='BC' export OPENROAD_ARGS='-no_init' export OPENROAD_GUI_CMD='/home/ubuntu/Opensource/OpenROAD-flow-scripts/tools/install/OpenROAD/bin/openroad -gui' export WC_VOLTAGE='0.63' export TC_DFF_LIB_FILE='./platforms/asap7/lib/asap7sc7p5t_SEQ_RVT_TT_nldm_220123.lib' export FLOW_VARIANT='base' export RULES_DESIGN='./designs/asap7/riscv_top/rules-base.json' export RTLMP_BLOCKAGE_FILE='./objects/asap7/riscv_top/base/rtlmp/partition.txt.blockage' export RTLMP_RPT_FILE='partition.txt' export IR_DROP_LAYER='M1' export GDS_ALLOW_EMPTY='fakeram.'

Relevant log output

OpenROAD v2.0-8474-gcb591f10a 
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
[INFO GPL-0002] DBU: 1000
[INFO GPL-0003] SiteSize: 54 270
[INFO GPL-0004] CoreAreaLxLy: 5022 5130
[INFO GPL-0005] CoreAreaUxUy: 994950 994950
[INFO GPL-0006] NumInstances: 1695774
[INFO GPL-0007] NumPlaceInstances: 1616916
[INFO GPL-0008] NumFixedInstances: 78858
[INFO GPL-0009] NumDummyInstances: 0
[INFO GPL-0010] NumNets: 1619810
[INFO GPL-0011] NumPins: 5363400
[INFO GPL-0012] DieAreaLxLy: 0 0
[INFO GPL-0013] DieAreaUxUy: 1000000 1000000
[INFO GPL-0014] CoreAreaLxLy: 5022 5130
[INFO GPL-0015] CoreAreaUxUy: 994950 994950
[INFO GPL-0016] CoreArea: 979850532960
[INFO GPL-0017] NonPlaceInstsArea: 2299499280
[INFO GPL-0018] PlaceInstsArea: 298920034800
[INFO GPL-0019] Util(%): 30.58
[INFO GPL-0020] StdInstsArea: 298920034800
[INFO GPL-0021] MacroInstsArea: 0
[InitialPlace]  Iter: 1 CG residual: 0.00020297 HPWL: 1875868988
[InitialPlace]  Iter: 2 CG residual: 0.00009788 HPWL: 1758648977
[InitialPlace]  Iter: 3 CG residual: 0.00006811 HPWL: 1717151053
[InitialPlace]  Iter: 4 CG residual: 0.00005810 HPWL: 1712077995
[InitialPlace]  Iter: 5 CG residual: 0.00004963 HPWL: 1708778930
[InitialPlace]  Iter: 6 CG residual: 0.00004528 HPWL: 1704985077
[InitialPlace]  Iter: 7 CG residual: 0.00003765 HPWL: 1700734783
[InitialPlace]  Iter: 8 CG residual: 0.00003184 HPWL: 1698291507
[InitialPlace]  Iter: 9 CG residual: 0.00003719 HPWL: 1696831237
[InitialPlace]  Iter: 10 CG residual: 0.00003663 HPWL: 1696621789
[InitialPlace]  Iter: 11 CG residual: 0.00003070 HPWL: 1696201760
[InitialPlace]  Iter: 12 CG residual: 0.00002916 HPWL: 1696309466
[InitialPlace]  Iter: 13 CG residual: 0.00002332 HPWL: 1696111065
[InitialPlace]  Iter: 14 CG residual: 0.00002959 HPWL: 1696228965
[InitialPlace]  Iter: 15 CG residual: 0.00007555 HPWL: 1696117059
[InitialPlace]  Iter: 16 CG residual: 0.00002637 HPWL: 1696052263
[InitialPlace]  Iter: 17 CG residual: 0.00002086 HPWL: 1696117543
[InitialPlace]  Iter: 18 CG residual: 0.00002714 HPWL: 1696183603
[InitialPlace]  Iter: 19 CG residual: 0.00002239 HPWL: 1696136542
[InitialPlace]  Iter: 20 CG residual: 0.00002516 HPWL: 1696198363
[INFO GPL-0031] FillerInit: NumGCells: 3216352
[INFO GPL-0032] FillerInit: NumGNets: 1619810
[INFO GPL-0033] FillerInit: NumGPins: 5363400
[INFO GPL-0023] TargetDensity: 0.60
[INFO GPL-0024] AveragePlaceInstArea: 184870
[INFO GPL-0025] IdealBinArea: 308117
[INFO GPL-0026] IdealBinCnt: 3180124
[INFO GPL-0027] TotalBinArea: 979850532960
[INFO GPL-0028] BinCnt: 1024 1024
[INFO GPL-0029] BinSize: 967 967
[INFO GPL-0030] NumBins: 1048576
[NesterovSolve] Iter: 1 overflow: 0.996986 HPWL: 1058325115
[NesterovSolve] Iter: 10 overflow: 0.99651 HPWL: 521050831
[NesterovSolve] Iter: 20 overflow: 0.996177 HPWL: 480786323
[NesterovSolve] Iter: 30 overflow: 0.995969 HPWL: 485668101
[NesterovSolve] Iter: 40 overflow: 0.995784 HPWL: 495078725
[NesterovSolve] Iter: 50 overflow: 0.99561 HPWL: 504701037
[NesterovSolve] Iter: 60 overflow: 0.995424 HPWL: 515696754
[NesterovSolve] Iter: 70 overflow: 0.995235 HPWL: 525609406
[NesterovSolve] Iter: 80 overflow: 0.995007 HPWL: 535556612
[NesterovSolve] Iter: 90 overflow: 0.994767 HPWL: 545011706
[NesterovSolve] Iter: 100 overflow: 0.994539 HPWL: 556015113
[NesterovSolve] Iter: 110 overflow: 0.994309 HPWL: 570179252
[NesterovSolve] Iter: 120 overflow: 0.994137 HPWL: 588302380
[NesterovSolve] Iter: 130 overflow: 0.993935 HPWL: 611556924
[NesterovSolve] Iter: 140 overflow: 0.993599 HPWL: 640819791
[NesterovSolve] Iter: 150 overflow: 0.99318 HPWL: 676510357
[NesterovSolve] Iter: 160 overflow: 0.992646 HPWL: 720452630
[NesterovSolve] Iter: 170 overflow: 0.991751 HPWL: 776133542
[NesterovSolve] Iter: 180 overflow: 0.990317 HPWL: 847105729
[NesterovSolve] Iter: 190 overflow: 0.988058 HPWL: 938727825
[NesterovSolve] Iter: 200 overflow: 0.984748 HPWL: 1055102476
[NesterovSolve] Iter: 210 overflow: 0.98016 HPWL: 1203829580
[NesterovSolve] Iter: 220 overflow: 0.973896 HPWL: 1396138753
[NesterovSolve] Iter: 230 overflow: 0.965628 HPWL: 1647865896
[NesterovSolve] Iter: 240 overflow: 0.954789 HPWL: 1982810776
[NesterovSolve] Iter: 250 overflow: 0.937343 HPWL: 2857919803
[NesterovSolve] Iter: 260 overflow: 0.912159 HPWL: 4391131586
[NesterovSolve] Iter: 270 overflow: 0.891764 HPWL: 5447196435
[NesterovSolve] Iter: 280 overflow: 0.872312 HPWL: 6135796265
[NesterovSolve] Iter: 290 overflow: 0.853829 HPWL: 6444647485
[NesterovSolve] Iter: 300 overflow: 0.839114 HPWL: 6001237274
[NesterovSolve] Iter: 310 overflow: 0.813046 HPWL: 5619862180
Command terminated by signal 11
Elapsed time: 40:17.33[h:]min:sec. CPU time: user 2400.71 sys 16.37 (99%). Peak memory: 8148768KB.

Screenshots

Instance count image

image

Additional Context

No response

vijayank88 commented 1 year ago

riscv32i is built in design. Did you changed anything in the source code?

Attach a reproducible test case by running make global_place_issue and attach tar.gz here

vishallparmar25 commented 1 year ago

riscv32i is built in design. Did you changed anything in the source code?

Attach a reproducible test case by running make global_place_issue and attach tar.gz here

This is not riscv32i design, it's different design. Entire tar file sharing is not possible due to it's large size around 2GB of tar file.

Any specific file you are looking from that tar ?

vijayank88 commented 1 year ago

share *.v, .sdc and config.mk in zip file

vijayank88 commented 1 year ago

Attach following files

3_2_place_iop.odb 
2_floorplan.sdc
vijayank88 commented 1 year ago

@vishallparmar25 Any update on this?

vishallparmar25 commented 1 year ago

@vishallparmar25 Any update on this?

It's giving same issue.

vijayank88 commented 1 year ago

Attach following files

3_2_place_iop.odb 
2_floorplan.sdc

Can you attach these files?

vijayank88 commented 1 year ago

@vishallparmar25 What is the status on this?