The-OpenROAD-Project / OpenROAD

OpenROAD's unified application implementing an RTL-to-GDS Flow. Documentation at https://openroad.readthedocs.io/en/latest/
https://theopenroadproject.org/
BSD 3-Clause "New" or "Revised" License
1.44k stars 508 forks source link

gate cloning leaves floating nets behind #3907

Closed gadfort closed 10 months ago

gadfort commented 11 months ago

Describe the bug

When running repair_timing with the new gate cloning logic floating nets appear in the design indicating that there are errors occurring.

[WARNING RSZ-0020] found 79 floating nets.

Expected Behavior

No changes to the functionality of the design and no floating nets that weren't there before.

Environment

OpenROAD v2.0-10001-g53a32a198

To Reproduce

sc_issue_aes_job0_freepdk45_demo_orfs_cts0_20230825-230053.tar.gz

tar xvf sc_issue_aes_job0_freepdk45_demo_orfs_cts0_20230825-230053.tar.gz
cd sc_issue_aes_job0_freepdk45_demo_orfs_cts0_20230825-230053
./run.sh

Relevant log output

OpenROAD v2.0-10001-g53a32a198 
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
[INFO FLW-0001] Defining timing corners: typical
Reading liberty file for typical: /home/pgadfort/siliconcompiler/third_party/pdks/virtual/freepdk45/libs/nangate45/r1p0/lib/NangateOpenCellLibrary_typical.lib
Reading ODB: inputs/aes_cipher_top.odb
Reading SDC: inputs/aes_cipher_top.sdc
[INFO FLW-0001] Using metal5 for clock parasitics estimation
[INFO FLW-0001] Using metal3 for signal parasitics estimation
[INFO ORD-0030] Using 16 thread(s).
[INFO FLW-0001] Setting global routing adjustment for metal1 to 100.0%
[INFO FLW-0001] Setting global routing adjustment for metal2 to 50.0%
[INFO FLW-0001] Setting global routing adjustment for metal3 to 50.0%
[INFO FLW-0001] Setting global routing adjustment for metal4 to 25.0%
[INFO FLW-0001] Setting global routing adjustment for metal5 to 25.0%
[INFO FLW-0001] Setting global routing adjustment for metal6 to 25.0%
[INFO FLW-0001] Setting global routing adjustment for metal7 to 25.0%
[INFO FLW-0001] Setting global routing adjustment for metal8 to 25.0%
[INFO FLW-0001] Setting global routing adjustment for metal9 to 25.0%
[INFO FLW-0001] Setting global routing adjustment for metal10 to 25.0%
[INFO FLW-0001] Setting global routing signal routing layers to: metal2-metal10
[INFO FLW-0001] Setting global routing clock routing layers to: metal2-metal10
#######################################
# Clock tree synthesis
# (skip if no clocks defined)
#######################################
if {[llength [all_clocks]] > 0} {
  # Clone clock tree inverters next to register loads
  # so cts does not try to buffer the inverted clocks.
  repair_clock_inverters
  set sc_cts_arguments []
  if {$openroad_cts_balance_levels == "true"} {
    lappend sc_cts_arguments "-balance_levels"
  }
  clock_tree_synthesis -root_buf $sc_clkbuf -buf_list $sc_clkbuf \
    -sink_clustering_enable \
    -sink_clustering_size $openroad_cts_cluster_size \
    -sink_clustering_max_diameter $openroad_cts_cluster_diameter \
    -distance_between_buffers $openroad_cts_distance_between_buffers \
    {*}$sc_cts_arguments
  set_propagated_clock [all_clocks]
  estimate_parasitics -placement
  repair_clock_nets
  sc_detailed_placement
  estimate_parasitics -placement
  set repair_timing_args []
  if { $openroad_rsz_skip_pin_swap == "true" } {
    lappend repair_timing_args "-skip_pin_swap"
  }
  repair_timing -setup -verbose \
    -setup_margin $openroad_rsz_setup_slack_margin \
    -hold_margin $openroad_rsz_hold_slack_margin \
    -repair_tns $openroad_rsz_repair_tns
  estimate_parasitics -placement
  repair_timing -hold -verbose \
    -setup_margin $openroad_rsz_setup_slack_margin \
    -hold_margin $openroad_rsz_hold_slack_margin \
    -repair_tns $openroad_rsz_repair_tns
  sc_detailed_placement
}
[INFO CTS-0049] Characterization buffer is: BUF_X4.
[INFO CTS-0039] Number of created patterns = 12240.
[INFO CTS-0084] Compiling LUT.
Min. len    Max. len    Min. cap    Max. cap    Min. slew   Max. slew
2           8           1           35          1           12          
[WARNING CTS-0043] 1632 wires are pure wire and no slew degradation.
TritonCTS forced slew degradation on these wires.
[INFO CTS-0046]     Number of wire segments: 12240.
[INFO CTS-0047]     Number of keys in characterization LUT: 1660.
[INFO CTS-0048]     Actual min input cap: 1.
[INFO CTS-0007] Net "clk" found for clock "clk".
[INFO CTS-0010]  Clock net "clk" has 562 sinks.
[INFO CTS-0008] TritonCTS found 1 clock nets.
[INFO CTS-0097] Characterization used 1 buffer(s) types.
[INFO CTS-0027] Generating H-Tree topology for net clk.
[INFO CTS-0028]  Total number of sinks: 562.
[INFO CTS-0029]  Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030]  Number of static layers: 0.
[INFO CTS-0020]  Wire segment unit: 14000  dbu (7 um).
[INFO CTS-0021]  Distance between buffers: 7 units (100 um).
[INFO CTS-0019]  Total number of sinks after clustering: 22.
[INFO CTS-0024]  Normalized sink region: [(17.731, 9.16556), (50.01, 51.1113)].
[INFO CTS-0025]     Width:  32.2790.
[INFO CTS-0026]     Height: 41.9458.
 Level 1
    Direction: Vertical
    Sinks per sub-region: 11
    Sub-region size: 32.2790 X 20.9729
[INFO CTS-0034]     Segment length (rounded): 10.
    Key: 348 inSlew: 1 inCap: 2 outSlew: 2 load: 1 length: 8 delay: 1
    Key: 3 inSlew: 1 inCap: 1 outSlew: 2 load: 1 length: 2 delay: 1
 Out of 22 sinks, 1 sinks closer to other cluster.
[INFO CTS-0032]  Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035]  Number of sinks covered: 22.
[INFO CTS-0018]     Created 25 clock buffers.
[INFO CTS-0012]     Minimum number of buffers in the clock path: 3.
[INFO CTS-0013]     Maximum number of buffers in the clock path: 3.
[INFO CTS-0015]     Created 25 clock nets.
[INFO CTS-0016]     Fanout distribution for the current clock = 2:2, 9:1, 13:1, 14:1, 18:1, 23:1, 26:1, 27:1, 30:15..
[INFO CTS-0017]     Max level of the clock tree: 1.
[INFO CTS-0098] Clock net "clk"
[INFO CTS-0099]  Sinks 562
[INFO CTS-0100]  Leaf buffers 22
[INFO CTS-0101]  Average sink wire length 456.96 um
[INFO CTS-0102]  Path depth 3 - 3
[INFO RSZ-0058] Using max wire length 661um.
Placement Analysis
---------------------------------
total displacement         80.6 u
average displacement        0.0 u
max displacement            4.1 u
original HPWL          192752.0 u
legalized HPWL         194997.0 u
delta HPWL                    1 %

[INFO RSZ-0094] Found 239 endpoints with setup violations.
Iteration | Resized | Buffers | Cloned Gates | Pin Swaps |   WNS   |   TNS   | Endpoint
---------------------------------------------------------------------------------------
        0 |       0 |       0 |            0 |         0 |  -0.620 | -48.465 | _29115_/D
       10 |       0 |      14 |            5 |         2 |  -0.561 | -48.561 | _29113_/D
       20 |       1 |      22 |            8 |         5 |  -0.536 | -48.037 | _29113_/D
       30 |       2 |      40 |           10 |         8 |  -0.515 | -47.528 | _29117_/D
       40 |       3 |      55 |           14 |        10 |  -0.505 | -46.905 | _29126_/D
       50 |       8 |      58 |           17 |        11 |  -0.515 | -45.685 | _28970_/D
       60 |      15 |      58 |           19 |        12 |  -0.507 | -48.012 | _28969_/D
       70 |      19 |      67 |           21 |        14 |  -0.509 | -49.683 | _29119_/D
       80 |      21 |      75 |           25 |        15 |  -0.536 | -51.249 | _29119_/D
       90 |      25 |      83 |           29 |        15 |  -0.543 | -51.993 | _29119_/D
       94 |       9 |      65 |           15 |        15 |  -0.435 | -28.007 | _29153_/D
      100 |      11 |      72 |           15 |        15 |  -0.466 | -41.219 | _28970_/D
      110 |      15 |      76 |           19 |        15 |  -0.468 | -41.247 | _28970_/D
      120 |      22 |      78 |           21 |        15 |  -0.468 | -41.263 | _28970_/D
      129 |      27 |      81 |           23 |        16 |  -0.435 | -28.162 | _29153_/D
      130 |      27 |      81 |           23 |        16 |  -0.435 | -28.162 | _29153_/D
      130 |      27 |      81 |           23 |        16 |  -0.435 | -28.162 | _29153_/D
      131 |      27 |      81 |           23 |        16 |  -0.435 | -28.162 | _29153_/D
      135 |      27 |      89 |           24 |        16 |  -0.435 | -27.896 | _29153_/D
      136 |      27 |      89 |           24 |        16 |  -0.435 | -27.896 | _29153_/D
      140 |      28 |      93 |           24 |        16 |  -0.435 | -27.871 | _29153_/D
      141 |      29 |      93 |           24 |        16 |  -0.435 | -27.872 | _29153_/D
      143 |      30 |      93 |           24 |        16 |  -0.435 | -27.867 | _29153_/D
      144 |      30 |      93 |           24 |        16 |  -0.435 | -27.867 | _29153_/D
      145 |      30 |      93 |           24 |        16 |  -0.435 | -27.867 | _29153_/D
      150 |      30 |      99 |           25 |        17 |  -0.435 | -27.740 | _29153_/D
      160 |      37 |     103 |           25 |        18 |  -0.435 | -27.710 | _29153_/D
      170 |      41 |     114 |           27 |        19 |  -0.435 | -27.676 | _29153_/D
      180 |      48 |     118 |           28 |        19 |  -0.435 | -27.749 | _29153_/D
      181 |      40 |     114 |           25 |        19 |  -0.435 | -27.611 | _29153_/D
      190 |      45 |     117 |           25 |        21 |  -0.435 | -27.626 | _29153_/D
      192 |      47 |     117 |           25 |        21 |  -0.435 | -27.626 | _29153_/D
      193 |      47 |     117 |           25 |        21 |  -0.435 | -27.626 | _29153_/D
      194 |      47 |     117 |           25 |        21 |  -0.435 | -27.626 | _29153_/D
      196 |      47 |     119 |           25 |        21 |  -0.435 | -27.612 | _29153_/D
      200 |      47 |     128 |           25 |        22 |  -0.435 | -27.479 | _29153_/D
      210 |      48 |     143 |           26 |        23 |  -0.435 | -27.367 | _29153_/D
      220 |      52 |     159 |           28 |        23 |  -0.435 | -27.116 | _29153_/D
      222 |      52 |     161 |           28 |        24 |  -0.435 | -27.083 | _29153_/D
      223 |      52 |     161 |           28 |        24 |  -0.435 | -27.083 | _29153_/D
      225 |      52 |     166 |           28 |        24 |  -0.435 | -27.076 | _29153_/D
      226 |      52 |     166 |           28 |        24 |  -0.435 | -27.076 | _29153_/D
      230 |      54 |     169 |           28 |        24 |  -0.435 | -26.969 | _29153_/D
      230 |      54 |     169 |           28 |        24 |  -0.435 | -26.969 | _29153_/D
      231 |      54 |     169 |           28 |        24 |  -0.435 | -26.969 | _29153_/D
      232 |      54 |     169 |           28 |        24 |  -0.435 | -26.969 | _29153_/D
      240 |      58 |     177 |           28 |        25 |  -0.435 | -27.070 | _29153_/D
      240 |      57 |     176 |           28 |        25 |  -0.435 | -26.951 | _29153_/D
      241 |      57 |     176 |           28 |        25 |  -0.435 | -26.977 | _29153_/D
      242 |      57 |     176 |           28 |        25 |  -0.435 | -26.977 | _29153_/D
      243 |      57 |     176 |           28 |        25 |  -0.435 | -26.977 | _29153_/D
      250 |      62 |     178 |           28 |        25 |  -0.435 | -27.065 | _29153_/D
      251 |      60 |     177 |           28 |        25 |  -0.435 | -26.948 | _29153_/D
      252 |      60 |     177 |           28 |        25 |  -0.435 | -26.973 | _29153_/D
      253 |      60 |     177 |           28 |        25 |  -0.435 | -26.973 | _29153_/D
      254 |      60 |     177 |           28 |        25 |  -0.435 | -26.973 | _29153_/D
      256 |      60 |     180 |           28 |        25 |  -0.435 | -26.961 | _29153_/D
      257 |      60 |     180 |           28 |        25 |  -0.435 | -26.961 | _29153_/D
      260 |      60 |     186 |           28 |        26 |  -0.342 | -26.340 | _29153_/D
      270 |      60 |     213 |           30 |        27 |  -0.315 | -24.739 | _29235_/D
      280 |      66 |     219 |           30 |        28 |  -0.315 | -24.569 | _29235_/D
      290 |      69 |     229 |           30 |        31 |  -0.315 | -24.924 | _29235_/D
      300 |      78 |     231 |           30 |        31 |  -0.315 | -24.975 | _29235_/D
      304 |      72 |     223 |           30 |        32 |  -0.314 | -24.418 | _29235_/D
      310 |      77 |     223 |           30 |        32 |  -0.315 | -24.571 | _29235_/D
      320 |      81 |     230 |           32 |        33 |  -0.315 | -24.523 | _29235_/D
      330 |      87 |     238 |           32 |        33 |  -0.315 | -24.850 | _29235_/D
      335 |      83 |     230 |           30 |        33 |  -0.314 | -24.348 | _29235_/D
      340 |      86 |     232 |           30 |        33 |  -0.315 | -24.544 | _29235_/D
      345 |      84 |     233 |           30 |        33 |  -0.314 | -24.373 | _29235_/D
      350 |      86 |     245 |           30 |        33 |  -0.315 | -24.516 | _29235_/D
      360 |      94 |     250 |           30 |        33 |  -0.315 | -24.424 | _29235_/D
      363 |      87 |     243 |           30 |        34 |  -0.314 | -24.358 | _29235_/D
      370 |      92 |     243 |           30 |        35 |  -0.315 | -24.493 | _29235_/D
      379 |      91 |     244 |           30 |        35 |  -0.314 | -24.384 | _29235_/D
      380 |      91 |     244 |           30 |        35 |  -0.315 | -24.542 | _29235_/D
      390 |      98 |     251 |           30 |        36 |  -0.315 | -24.495 | _29235_/D
      392 |      96 |     251 |           30 |        38 |  -0.315 | -24.489 | _29235_/D
      400 |      98 |     274 |           31 |        38 |  -0.303 | -22.678 | _29208_/D
      410 |     101 |     293 |           33 |        38 |  -0.303 | -22.300 | _29208_/D
      420 |     105 |     305 |           34 |        38 |  -0.303 | -22.233 | _29208_/D
      430 |     111 |     313 |           35 |        38 |  -0.303 | -22.125 | _29208_/D
      440 |     116 |     315 |           37 |        40 |  -0.303 | -22.226 | _29208_/D
      450 |     121 |     317 |           37 |        44 |  -0.303 | -22.224 | _29208_/D
      450 |     115 |     315 |           36 |        44 |  -0.303 | -22.050 | _29208_/D
      458 |     118 |     316 |           36 |        45 |  -0.303 | -22.086 | _29208_/D
      460 |     118 |     324 |           36 |        45 |  -0.279 | -21.845 | _29131_/D
      470 |     122 |     350 |           36 |        45 |  -0.279 | -21.411 | _29131_/D
      480 |     126 |     373 |           36 |        45 |  -0.279 | -21.944 | _29131_/D
      490 |     134 |     377 |           36 |        45 |  -0.279 | -21.550 | _29131_/D
      500 |     141 |     377 |           37 |        47 |  -0.279 | -20.825 | _29131_/D
      501 |     137 |     377 |           37 |        48 |  -0.279 | -20.774 | _29131_/D
      510 |     142 |     381 |           38 |        48 |  -0.279 | -20.907 | _29131_/D
      512 |     141 |     379 |           38 |        48 |  -0.279 | -20.708 | _29131_/D
      520 |     144 |     389 |           39 |        48 |  -0.279 | -20.149 | _29131_/D
      530 |     148 |     399 |           41 |        49 |  -0.279 | -19.960 | _29131_/D
      540 |     153 |     404 |           45 |        49 |  -0.279 | -19.724 | _29131_/D
      550 |     157 |     409 |           49 |        50 |  -0.279 | -19.598 | _29131_/D
      560 |     160 |     413 |           54 |        50 |  -0.279 | -20.042 | _29131_/D
      570 |     166 |     421 |           55 |        50 |  -0.279 | -20.271 | _29131_/D
      580 |     170 |     425 |           59 |        50 |  -0.279 | -20.131 | _29131_/D
      585 |     171 |     416 |           48 |        50 |  -0.279 | -19.334 | _29131_/D
      590 |     171 |     426 |           49 |        51 |  -0.258 | -19.127 | _29168_/D
      600 |     173 |     455 |           49 |        51 |  -0.258 | -18.641 | _29168_/D
      610 |     176 |     461 |           51 |        53 |  -0.258 | -18.965 | _29168_/D
      620 |     182 |     467 |           52 |        53 |  -0.258 | -19.150 | _29168_/D
      627 |     181 |     463 |           49 |        55 |  -0.258 | -18.800 | _29168_/D
      630 |     182 |     467 |           49 |        55 |  -0.258 | -18.807 | _29168_/D
      640 |     191 |     469 |           49 |        55 |  -0.258 | -18.743 | _29168_/D
      642 |     190 |     469 |           49 |        55 |  -0.258 | -18.728 | _29168_/D
      650 |     195 |     473 |           49 |        55 |  -0.258 | -18.920 | _29168_/D
      655 |     193 |     472 |           49 |        56 |  -0.258 | -18.730 | _29168_/D
      660 |     196 |     478 |           49 |        56 |  -0.258 | -18.742 | _29168_/D
      670 |     202 |     489 |           49 |        57 |  -0.258 | -18.724 | _29168_/D
      673 |     202 |     489 |           49 |        57 |  -0.258 | -18.663 | _29168_/D
      680 |     206 |     493 |           49 |        57 |  -0.258 | -18.882 | _29168_/D
      682 |     205 |     491 |           49 |        58 |  -0.258 | -18.683 | _29168_/D
      690 |     209 |     499 |           50 |        58 |  -0.258 | -18.643 | _29168_/D
      700 |     216 |     505 |           50 |        58 |  -0.258 | -19.028 | _29168_/D
      700 |     214 |     502 |           50 |        58 |  -0.258 | -18.586 | _29168_/D
      710 |     215 |     528 |           51 |        59 |  -0.258 | -17.531 | _29168_/D
      720 |     216 |     547 |           51 |        59 |  -0.258 | -17.706 | _29168_/D
      730 |     216 |     567 |           51 |        59 |  -0.258 | -17.706 | _29168_/D
      740 |     216 |     587 |           51 |        59 |  -0.258 | -17.869 | _29168_/D
      750 |     220 |     591 |           52 |        62 |  -0.258 | -17.687 | _29168_/D
      759 |     225 |     591 |           53 |        63 |  -0.258 | -17.643 | _29168_/D
      760 |     225 |     591 |           53 |        63 |  -0.258 | -17.648 | _29168_/D
      770 |     235 |     591 |           53 |        63 |  -0.258 | -17.645 | _29168_/D
      778 |     240 |     591 |           53 |        63 |  -0.258 | -17.618 | _29168_/D
      780 |     240 |     598 |           53 |        63 |  -0.243 | -17.195 | _29214_/D
      790 |     245 |     611 |           54 |        64 |  -0.243 | -16.471 | _29214_/D
      800 |     253 |     613 |           55 |        64 |  -0.243 | -16.481 | _29214_/D
      810 |     257 |     615 |           57 |        67 |  -0.243 | -16.507 | _29214_/D
      811 |     247 |     613 |           53 |        67 |  -0.243 | -16.277 | _29214_/D
      820 |     253 |     614 |           54 |        67 |  -0.243 | -16.396 | _29214_/D
      825 |     253 |     616 |           53 |        68 |  -0.243 | -16.352 | _29214_/D
      828 |     253 |     616 |           53 |        68 |  -0.243 | -16.345 | _29214_/D
      830 |     254 |     616 |           53 |        68 |  -0.243 | -16.346 | _29214_/D
      834 |     256 |     616 |           53 |        69 |  -0.243 | -16.345 | _29214_/D
      840 |     257 |     623 |           55 |        69 |  -0.243 | -16.436 | _29214_/D
      849 |     259 |     643 |           56 |        70 |  -0.243 | -16.237 | _29214_/D
      850 |     259 |     643 |           56 |        70 |  -0.243 | -16.237 | _29214_/D
      860 |     262 |     667 |           57 |        70 |  -0.237 | -15.181 | _29263_/D
      870 |     266 |     678 |           58 |        70 |  -0.237 | -15.111 | _29263_/D
      880 |     272 |     682 |           58 |        72 |  -0.237 | -15.286 | _29263_/D
      890 |     277 |     686 |           58 |        75 |  -0.237 | -15.377 | _29263_/D
      895 |     272 |     680 |           58 |        75 |  -0.237 | -14.871 | _29263_/D
      900 |     275 |     682 |           58 |        75 |  -0.237 | -14.710 | _29263_/D
      910 |     283 |     686 |           58 |        75 |  -0.237 | -14.736 | _29263_/D
      915 |     283 |     686 |           58 |        75 |  -0.237 | -14.537 | _29263_/D
      920 |     284 |     696 |           58 |        76 |  -0.237 | -14.846 | _29263_/D
      930 |     291 |     698 |           59 |        77 |  -0.237 | -14.863 | _29263_/D
      936 |     287 |     697 |           59 |        80 |  -0.237 | -14.836 | _29263_/D
      940 |     288 |     710 |           59 |        80 |  -0.237 | -14.773 | _29263_/D
      946 |     292 |     712 |           59 |        80 |  -0.237 | -14.948 | _29263_/D
      950 |     294 |     712 |           59 |        81 |  -0.237 | -14.901 | _29263_/D
      955 |     299 |     712 |           59 |        81 |  -0.237 | -14.875 | _29263_/D
      960 |     299 |     729 |           59 |        82 |  -0.203 | -14.184 | _29141_/D
      970 |     302 |     746 |           60 |        83 |  -0.203 | -13.942 | _29141_/D
      980 |     308 |     761 |           60 |        83 |  -0.203 | -13.831 | _29141_/D
      990 |     314 |     765 |           62 |        83 |  -0.203 | -13.761 | _29141_/D
     1000 |     320 |     775 |           62 |        84 |  -0.203 | -14.076 | _29141_/D
     1010 |     326 |     777 |           63 |        86 |  -0.203 | -14.148 | _29141_/D
     1020 |     333 |     782 |           64 |        86 |  -0.203 | -14.169 | _29141_/D
     1025 |     324 |     772 |           62 |        87 |  -0.203 | -13.726 | _29141_/D
     1026 |     324 |     772 |           62 |        87 |  -0.203 | -13.789 | _29141_/D
     1027 |     324 |     772 |           62 |        87 |  -0.203 | -13.789 | _29141_/D
     1028 |     324 |     772 |           62 |        87 |  -0.203 | -13.789 | _29141_/D
     1030 |     325 |     772 |           62 |        87 |  -0.203 | -13.787 | _29141_/D
     1038 |     331 |     779 |           62 |        87 |  -0.203 | -13.625 | _29141_/D
     1040 |     331 |     781 |           62 |        87 |  -0.203 | -13.625 | _29141_/D
     1050 |     335 |     794 |           63 |        87 |  -0.203 | -13.674 | _29141_/D
     1058 |     336 |     793 |           62 |        87 |  -0.203 | -13.525 | _29141_/D
     1060 |     336 |     794 |           62 |        87 |  -0.203 | -13.515 | _29141_/D
     1070 |     343 |     796 |           64 |        87 |  -0.203 | -13.505 | _29141_/D
     1071 |     343 |     796 |           64 |        87 |  -0.203 | -13.504 | _29141_/D
     1079 |     348 |     797 |           64 |        87 |  -0.203 | -13.438 | _29141_/D
     1080 |     348 |     797 |           64 |        87 |  -0.203 | -13.463 | _29141_/D
     1080 |     348 |     797 |           64 |        87 |  -0.203 | -13.463 | _29141_/D
     1090 |     354 |     802 |           64 |        87 |  -0.203 | -13.773 | _29141_/D
     1100 |     359 |     808 |           65 |        88 |  -0.203 | -13.938 | _29141_/D
     1109 |     360 |     805 |           64 |        88 |  -0.203 | -13.383 | _29141_/D
     1110 |     360 |     805 |           64 |        88 |  -0.203 | -13.411 | _29141_/D
     1120 |     366 |     812 |           64 |        89 |  -0.203 | -13.477 | _29141_/D
     1128 |     373 |     812 |           64 |        89 |  -0.203 | -13.476 | _29141_/D
     1130 |     373 |     812 |           65 |        89 |  -0.203 | -13.451 | _29141_/D
     1140 |     378 |     826 |           65 |        90 |  -0.203 | -13.434 | _29141_/D
     1150 |     382 |     832 |           68 |        90 |  -0.203 | -13.396 | _29141_/D
     1160 |     384 |     841 |           70 |        92 |  -0.203 | -13.436 | _29141_/D
     1163 |     384 |     840 |           69 |        93 |  -0.203 | -13.360 | _29141_/D
     1170 |     388 |     845 |           69 |        93 |  -0.203 | -13.317 | _29141_/D
     1175 |     388 |     845 |           69 |        94 |  -0.203 | -13.306 | _29141_/D
     1180 |     389 |     848 |           70 |        95 |  -0.203 | -13.217 | _29141_/D
     1190 |     398 |     853 |           70 |        95 |  -0.203 | -13.195 | _29141_/D
     1199 |     406 |     853 |           70 |        96 |  -0.203 | -13.185 | _29141_/D
     1200 |     406 |     853 |           70 |        96 |  -0.203 | -13.185 | _29141_/D
     1210 |     410 |     872 |           71 |        97 |  -0.203 | -12.789 | _29141_/D
     1220 |     412 |     886 |           72 |       100 |  -0.203 | -12.539 | _29141_/D
     1230 |     417 |     890 |           74 |       101 |  -0.203 | -12.487 | _29141_/D
     1240 |     424 |     900 |           74 |       101 |  -0.203 | -12.398 | _29141_/D
     1250 |     431 |     911 |           75 |       101 |  -0.203 | -12.317 | _29141_/D
     1259 |     434 |     914 |           76 |       101 |  -0.203 | -12.234 | _29141_/D
     1260 |     434 |     914 |           76 |       101 |  -0.203 | -12.308 | _29141_/D
     1270 |     442 |     916 |           77 |       101 |  -0.203 | -12.303 | _29141_/D
     1280 |     451 |     918 |           77 |       101 |  -0.203 | -12.299 | _29141_/D
     1285 |     449 |     918 |           77 |       102 |  -0.203 | -12.265 | _29141_/D
     1290 |     452 |     920 |           77 |       102 |  -0.203 | -12.483 | _29141_/D
     1300 |     460 |     924 |           77 |       102 |  -0.203 | -12.774 | _29141_/D
     1310 |     468 |     928 |           77 |       102 |  -0.203 | -12.758 | _29141_/D
     1311 |     461 |     923 |           77 |       102 |  -0.203 | -12.255 | _29141_/D
     1320 |     466 |     932 |           78 |       102 |  -0.203 | -12.186 | _29141_/D
     1330 |     470 |     941 |           79 |       103 |  -0.203 | -12.438 | _29141_/D
     1340 |     478 |     945 |           79 |       103 |  -0.203 | -12.454 | _29141_/D
     1343 |     476 |     943 |           79 |       103 |  -0.203 | -12.335 | _29141_/D
     1349 |     481 |     943 |           79 |       103 |  -0.203 | -12.414 | _29141_/D
     1350 |     481 |     943 |           79 |       103 |  -0.203 | -12.414 | _29141_/D
     1360 |     489 |     948 |           79 |       103 |  -0.203 | -12.624 | _29141_/D
     1365 |     486 |     947 |           79 |       105 |  -0.203 | -12.429 | _29141_/D
     1370 |     487 |     953 |           80 |       105 |  -0.203 | -12.609 | _29141_/D
     1380 |     493 |     961 |           80 |       105 |  -0.203 | -13.169 | _29141_/D
     1390 |     502 |     963 |           80 |       105 |  -0.203 | -13.083 | _29141_/D
     1393 |     499 |     957 |           80 |       105 |  -0.203 | -12.367 | _29141_/D
     1400 |     499 |     963 |           83 |       106 |  -0.203 | -11.830 | _29141_/D
     1410 |     501 |     976 |           86 |       107 |  -0.203 | -11.844 | _29141_/D
     1420 |     506 |     986 |           87 |       108 |  -0.203 | -11.805 | _29141_/D
     1430 |     510 |     994 |           87 |       110 |  -0.203 | -12.308 | _29141_/D
     1440 |     513 |    1014 |           87 |       110 |  -0.217 | -15.681 | _29141_/D
     1450 |     523 |    1014 |           87 |       110 |  -0.217 | -15.595 | _29141_/D
     1452 |     516 |     996 |           87 |       110 |  -0.203 | -11.590 | _29141_/D
     1460 |     521 |    1005 |           87 |       110 |  -0.204 | -14.426 | _29141_/D
     1469 |     523 |    1006 |           87 |       110 |  -0.204 | -14.412 | _29141_/D
     1470 |     523 |    1006 |           87 |       110 |  -0.204 | -14.426 | _29141_/D
     1480 |     531 |    1013 |           87 |       110 |  -0.204 | -14.321 | _29141_/D
     1483 |     534 |    1013 |           87 |       110 |  -0.204 | -14.313 | _29141_/D
     1490 |     536 |    1022 |           87 |       111 |  -0.204 | -14.180 | _29141_/D
     1500 |     543 |    1028 |           87 |       112 |  -0.204 | -14.184 | _29141_/D
     1500 |     538 |    1024 |           87 |       112 |  -0.203 | -11.566 | _29141_/D
     1510 |     545 |    1026 |           88 |       112 |  -0.203 | -14.787 | _29141_/D
     1520 |     554 |    1028 |           88 |       112 |  -0.203 | -14.591 | _29141_/D
     1530 |     561 |    1028 |           90 |       113 |  -0.203 | -14.565 | _29141_/D
     1538 |     565 |    1028 |           92 |       115 |  -0.203 | -13.241 | _29141_/D
     1540 |     565 |    1030 |           92 |       115 |  -0.203 | -13.410 | _29141_/D
     1545 |     566 |    1029 |           92 |       115 |  -0.203 | -13.217 | _29141_/D
     1550 |     570 |    1029 |           92 |       115 |  -0.203 | -11.661 | _29141_/D
     1550 |     570 |    1029 |           92 |       115 |  -0.203 | -11.661 | _29141_/D
     1551 |     570 |    1029 |           92 |       115 |  -0.203 | -11.661 | _29141_/D
     1558 |     573 |    1031 |           92 |       115 |  -0.203 | -11.609 | _29141_/D
     1560 |     573 |    1033 |           92 |       115 |  -0.203 | -11.658 | _29141_/D
     1570 |     580 |    1043 |           92 |       115 |  -0.203 | -11.575 | _29141_/D
     1572 |     582 |    1043 |           92 |       115 |  -0.203 | -11.573 | _29141_/D
     1580 |     584 |    1063 |           92 |       115 |  -0.203 | -11.126 | _29141_/D
     1590 |     588 |    1065 |           96 |       116 |  -0.203 | -10.967 | _29141_/D
     1600 |     593 |    1070 |           98 |       117 |  -0.203 | -10.889 | _29141_/D
     1606 |     594 |    1076 |          101 |       117 |  -0.203 | -10.833 | _29141_/D
     1610 |     594 |    1080 |          102 |       118 |  -0.203 | -10.299 | _29141_/D
     1620 |     596 |    1095 |          104 |       120 |  -0.203 | -10.061 | _29141_/D
     1625 |     598 |    1098 |          105 |       121 |  -0.203 |  -9.977 | _29141_/D
     1630 |     600 |    1102 |          105 |       121 |  -0.203 | -10.168 | _29141_/D
     1637 |     607 |    1102 |          105 |       121 |  -0.203 | -10.163 | _29141_/D
     1640 |     609 |    1102 |          105 |       121 |  -0.203 | -10.151 | _29141_/D
     1650 |     618 |    1109 |          105 |       121 |  -0.203 | -10.081 | _29141_/D
     1652 |     619 |    1115 |          105 |       121 |  -0.203 | -10.068 | _29141_/D
     1660 |     620 |    1138 |          105 |       121 |  -0.191 |  -9.532 | _29171_/D
     1670 |     623 |    1152 |          107 |       122 |  -0.191 |  -9.418 | _29171_/D
     1680 |     628 |    1157 |          109 |       123 |  -0.180 |  -9.379 | _29171_/D
     1690 |     635 |    1159 |          110 |       124 |  -0.180 |  -9.336 | _29171_/D
     1700 |     641 |    1163 |          111 |       125 |  -0.180 |  -9.316 | _29171_/D
     1710 |     647 |    1168 |          112 |       127 |  -0.180 |  -9.263 | _29171_/D
     1713 |     631 |    1161 |          109 |       127 |  -0.179 |  -9.306 | _29153_/D
     1719 |     635 |    1161 |          109 |       127 |  -0.179 |  -9.574 | _29153_/D
     1720 |     635 |    1161 |          109 |       127 |  -0.179 |  -9.574 | _29153_/D
     1727 |     641 |    1162 |          109 |       127 |  -0.179 |  -9.577 | _29153_/D
     1730 |     643 |    1162 |          109 |       127 |  -0.179 |  -9.578 | _29153_/D
     1733 |     646 |    1162 |          109 |       127 |  -0.179 |  -9.571 | _29153_/D
     1740 |     649 |    1164 |          110 |       128 |  -0.179 |  -9.540 | _29153_/D
     1749 |     653 |    1173 |          112 |       128 |  -0.179 |  -9.914 | _29153_/D
     1750 |     653 |    1173 |          112 |       128 |  -0.179 |  -9.914 | _29153_/D
     1760 |     655 |    1191 |          113 |       131 |  -0.179 |  -9.511 | _29153_/D
     1770 |     663 |    1193 |          114 |       131 |  -0.179 |  -9.461 | _29153_/D
     1780 |     670 |    1195 |          114 |       133 |  -0.179 |  -9.637 | _29153_/D
     1781 |     662 |    1194 |          114 |       134 |  -0.179 |  -9.434 | _29153_/D
     1790 |     667 |    1196 |          116 |       134 |  -0.179 |  -9.387 | _29153_/D
     1795 |     665 |    1196 |          116 |       135 |  -0.179 |  -9.353 | _29153_/D
     1800 |     668 |    1198 |          116 |       135 |  -0.179 | -10.719 | _29153_/D
     1810 |     675 |    1204 |          116 |       136 |  -0.179 |  -9.912 | _29153_/D
     1820 |     681 |    1208 |          118 |       136 |  -0.179 | -11.014 | _29153_/D
     1830 |     686 |    1215 |          119 |       138 |  -0.179 | -10.544 | _29153_/D
     1837 |     688 |    1216 |          119 |       141 |  -0.179 | -10.541 | _29153_/D
     1840 |     690 |    1216 |          119 |       141 |  -0.179 |  -9.639 | _29153_/D
     1850 |     698 |    1220 |          119 |       141 |  -0.179 |  -9.772 | _29153_/D
     1860 |     706 |    1224 |          119 |       141 |  -0.179 | -10.815 | _29153_/D
     1870 |     712 |    1230 |          120 |       141 |  -0.179 | -12.656 | _29153_/D
     1872 |     704 |    1224 |          119 |       141 |  -0.179 |  -9.050 | _29153_/D
     1877 |     707 |    1228 |          119 |       141 |  -0.179 |  -9.318 | _29153_/D
     1880 |     709 |    1228 |          119 |       141 |  -0.179 |  -9.312 | _29153_/D
     1890 |     719 |    1228 |          119 |       141 |  -0.179 |  -9.312 | _29153_/D
     1892 |     721 |    1228 |          119 |       141 |  -0.179 |  -9.308 | _29153_/D
     1900 |     727 |    1230 |          119 |       141 |  -0.179 |  -9.046 | _29153_/D
     1910 |     735 |    1234 |          119 |       141 |  -0.179 |  -9.046 | _29153_/D
     1911 |     732 |    1233 |          119 |       141 |  -0.179 |  -8.994 | _29153_/D
     1920 |     737 |    1235 |          120 |       142 |  -0.179 |  -9.132 | _29153_/D
     1930 |     747 |    1235 |          120 |       142 |  -0.179 |  -9.120 | _29153_/D
     1931 |     738 |    1234 |          120 |       142 |  -0.179 |  -8.898 | _29153_/D
     1940 |     744 |    1238 |          120 |       142 |  -0.179 |  -9.471 | _29153_/D
     1947 |     742 |    1237 |          120 |       142 |  -0.179 |  -8.653 | _29153_/D
     1950 |     743 |    1242 |          120 |       142 |  -0.179 |  -8.674 | _29153_/D
     1960 |     750 |    1253 |          120 |       142 |  -0.179 |  -8.696 | _29153_/D
     1970 |     756 |    1255 |          121 |       144 |  -0.179 |  -8.644 | _29153_/D
     1973 |     758 |    1255 |          121 |       144 |  -0.179 |  -8.640 | _29153_/D
     1974 |     758 |    1255 |          121 |       144 |  -0.179 |  -8.642 | _29153_/D
     1980 |     762 |    1255 |          121 |       145 |  -0.179 |  -8.650 | _29153_/D
     1980 |     760 |    1255 |          121 |       145 |  -0.179 |  -8.641 | _29153_/D
     1990 |     766 |    1264 |          121 |       145 |  -0.179 |  -8.591 | _29153_/D
     1990 |     764 |    1264 |          121 |       145 |  -0.179 |  -8.545 | _29153_/D
     2000 |     771 |    1268 |          121 |       145 |  -0.179 |  -8.479 | _29153_/D
     2010 |     779 |    1273 |          122 |       145 |  -0.179 |  -8.504 | _29153_/D
     2016 |     772 |    1269 |          121 |       146 |  -0.179 |  -8.375 | _29153_/D
     2020 |     775 |    1269 |          121 |       146 |  -0.179 |  -8.475 | _29153_/D
     2021 |     776 |    1269 |          121 |       146 |  -0.179 |  -8.472 | _29153_/D
     2028 |     780 |    1273 |          121 |       146 |  -0.179 |  -8.436 | _29153_/D
     2030 |     780 |    1275 |          121 |       146 |  -0.179 |  -8.427 | _29153_/D
     2038 |     785 |    1279 |          121 |       146 |  -0.179 |  -8.388 | _29153_/D
     2040 |     786 |    1279 |          121 |       146 |  -0.179 |  -8.389 | _29153_/D
     2040 |     786 |    1279 |          121 |       146 |  -0.179 |  -8.389 | _29153_/D
     2050 |     794 |    1284 |          121 |       146 |  -0.179 |  -8.396 | _29153_/D
     2051 |     794 |    1284 |          121 |       146 |  -0.179 |  -8.396 | _29153_/D
     2060 |     801 |    1284 |          121 |       147 |  -0.179 |  -8.378 | _29153_/D
     2061 |     802 |    1284 |          121 |       147 |  -0.179 |  -8.371 | _29153_/D
     2070 |     807 |    1289 |          121 |       147 |  -0.179 |  -8.507 | _29153_/D
     2080 |     814 |    1293 |          121 |       148 |  -0.179 |  -8.518 | _29153_/D
     2080 |     810 |    1288 |          121 |       148 |  -0.179 |  -8.357 | _29153_/D
     2090 |     818 |    1290 |          121 |       148 |  -0.179 |  -8.384 | _29153_/D
     2090 |     815 |    1289 |          121 |       148 |  -0.179 |  -8.316 | _29153_/D
     2091 |     815 |    1289 |          121 |       148 |  -0.179 |  -8.349 | _29153_/D
     2100 |     816 |    1303 |          123 |       148 |  -0.179 |  -8.356 | _29153_/D
     2110 |     820 |    1308 |          126 |       148 |  -0.179 |  -8.364 | _29153_/D
     2110 |     820 |    1307 |          126 |       148 |  -0.179 |  -8.355 | _29153_/D
     2114 |     821 |    1310 |          126 |       148 |  -0.179 |  -8.333 | _29153_/D
     2116 |     821 |    1316 |          126 |       148 |  -0.179 |  -8.295 | _29153_/D
     2120 |     823 |    1319 |          126 |       148 |  -0.179 |  -8.274 | _29153_/D
     2130 |     828 |    1327 |          126 |       149 |  -0.179 |  -8.401 | _29153_/D
     2136 |     833 |    1331 |          126 |       149 |  -0.179 |  -8.280 | _29153_/D
     2140 |     836 |    1331 |          126 |       149 |  -0.179 |  -8.275 | _29153_/D
     2144 |     840 |    1331 |          126 |       149 |  -0.179 |  -8.267 | _29153_/D
     2146 |     840 |    1335 |          126 |       149 |  -0.179 |  -8.242 | _29153_/D
     2147 |     840 |    1335 |          126 |       149 |  -0.179 |  -8.242 | _29153_/D
     2150 |     841 |    1337 |          126 |       149 |  -0.179 |  -8.218 | _29153_/D
     2160 |     849 |    1339 |          127 |       149 |  -0.179 |  -8.226 | _29153_/D
     2170 |     857 |    1341 |          128 |       149 |  -0.179 |  -8.241 | _29153_/D
     2170 |     850 |    1339 |          126 |       149 |  -0.179 |  -8.176 | _29153_/D
     2173 |     850 |    1348 |          126 |       149 |  -0.179 |  -8.178 | _29153_/D
     2178 |     852 |    1348 |          127 |       150 |  -0.179 |  -8.177 | _29153_/D
     2179 |     852 |    1348 |          127 |       150 |  -0.179 |  -8.177 | _29153_/D
     2180 |     852 |    1348 |          127 |       150 |  -0.179 |  -8.177 | _29153_/D
     2190 |     861 |    1350 |          127 |       150 |  -0.179 |  -8.608 | _29153_/D
     2198 |     868 |    1354 |          127 |       150 |  -0.179 |  -8.150 | _29153_/D
     2199 |     868 |    1354 |          127 |       150 |  -0.179 |  -8.150 | _29153_/D
     2200 |     868 |    1354 |          127 |       150 |  -0.179 |  -8.150 | _29153_/D
     2203 |     871 |    1354 |          127 |       150 |  -0.179 |  -8.132 | _29153_/D
     2204 |     871 |    1354 |          127 |       150 |  -0.179 |  -8.132 | _29153_/D
     2210 |     875 |    1357 |          127 |       150 |  -0.179 |  -8.119 | _29153_/D
     2220 |     884 |    1357 |          127 |       151 |  -0.179 |  -8.094 | _29153_/D
     2221 |     885 |    1357 |          127 |       151 |  -0.179 |  -8.079 | _29153_/D
     2225 |     888 |    1357 |          127 |       151 |  -0.179 |  -8.088 | _29153_/D
     2230 |     892 |    1357 |          127 |       151 |  -0.179 |  -8.080 | _29153_/D
     2240 |     900 |    1361 |          127 |       151 |  -0.179 |  -8.043 | _29153_/D
     2242 |     897 |    1361 |          127 |       151 |  -0.179 |  -8.030 | _29153_/D
     2246 |     899 |    1361 |          128 |       151 |  -0.179 |  -8.022 | _29153_/D
     2247 |     899 |    1361 |          128 |       151 |  -0.179 |  -8.022 | _29153_/D
     2248 |     899 |    1361 |          128 |       151 |  -0.179 |  -8.022 | _29153_/D
     2250 |     900 |    1361 |          128 |       151 |  -0.179 |  -8.008 | _29153_/D
     2253 |     902 |    1361 |          128 |       152 |  -0.179 |  -8.015 | _29153_/D
     2257 |     905 |    1361 |          128 |       152 |  -0.179 |  -8.004 | _29153_/D
     2260 |     906 |    1364 |          128 |       152 |  -0.179 |  -7.969 | _29153_/D
     2270 |     913 |    1366 |          129 |       153 |  -0.179 |  -7.935 | _29153_/D
     2280 |     918 |    1366 |          133 |       154 |  -0.179 |  -7.938 | _29153_/D
     2281 |     916 |    1366 |          130 |       154 |  -0.179 |  -7.901 | _29153_/D
     2290 |     916 |    1379 |          131 |       156 |  -0.179 |  -7.650 | _29153_/D
     2300 |     918 |    1386 |          134 |       158 |  -0.179 |  -7.684 | _29153_/D
     2310 |     927 |    1386 |          134 |       159 |  -0.179 |  -7.614 | _29153_/D
     2314 |     929 |    1388 |          135 |       159 |  -0.179 |  -7.606 | _29153_/D
     2316 |     930 |    1388 |          135 |       159 |  -0.179 |  -7.598 | _29153_/D
     2317 |     930 |    1388 |          135 |       159 |  -0.179 |  -7.598 | _29153_/D
     2318 |     930 |    1388 |          135 |       159 |  -0.179 |  -7.598 | _29153_/D
     2319 |     930 |    1388 |          135 |       159 |  -0.179 |  -7.598 | _29153_/D
     2320 |     930 |    1388 |          135 |       159 |  -0.179 |  -7.598 | _29153_/D
     2330 |     937 |    1397 |          136 |       160 |  -0.179 |  -7.583 | _29153_/D
     2339 |     943 |    1399 |          136 |       161 |  -0.179 |  -7.594 | _29153_/D
     2340 |     943 |    1399 |          136 |       161 |  -0.179 |  -7.596 | _29153_/D
     2348 |     950 |    1399 |          136 |       162 |  -0.179 |  -7.592 | _29153_/D
     2350 |     951 |    1399 |          136 |       162 |  -0.179 |  -7.590 | _29153_/D
     2360 |     960 |    1399 |          136 |       163 |  -0.179 |  -7.593 | _29153_/D
     2360 |     958 |    1399 |          136 |       163 |  -0.179 |  -7.589 | _29153_/D
     2370 |     963 |    1405 |          136 |       163 |  -0.179 |  -7.568 | _29153_/D
     2378 |     971 |    1405 |          136 |       163 |  -0.179 |  -7.570 | _29153_/D
     2380 |     972 |    1405 |          136 |       163 |  -0.179 |  -7.550 | _29153_/D
     2390 |     975 |    1419 |          140 |       163 |  -0.179 |  -7.509 | _29153_/D
     2392 |     976 |    1421 |          140 |       163 |  -0.179 |  -7.514 | _29153_/D
     2393 |     976 |    1421 |          140 |       163 |  -0.179 |  -7.514 | _29153_/D
     2394 |     976 |    1421 |          140 |       163 |  -0.179 |  -7.514 | _29153_/D
     2395 |     976 |    1421 |          140 |       163 |  -0.179 |  -7.514 | _29153_/D
     2400 |     977 |    1434 |          140 |       164 |  -0.179 |  -7.494 | _29153_/D
     2409 |     983 |    1434 |          142 |       164 |  -0.179 |  -7.403 | _29153_/D
     2410 |     983 |    1434 |          142 |       164 |  -0.179 |  -7.403 | _29153_/D
     2410 |     983 |    1434 |          142 |       164 |  -0.179 |  -7.403 | _29153_/D
     2411 |     983 |    1434 |          142 |       164 |  -0.179 |  -7.403 | _29153_/D
     2420 |     989 |    1436 |          142 |       165 |  -0.179 |  -7.419 | _29153_/D
     2422 |     990 |    1437 |          142 |       165 |  -0.179 |  -7.408 | _29153_/D
     2430 |     997 |    1437 |          142 |       165 |  -0.179 |  -7.406 | _29153_/D
     2434 |    1001 |    1437 |          142 |       165 |  -0.179 |  -7.403 | _29153_/D
     2435 |    1001 |    1437 |          142 |       165 |  -0.179 |  -7.403 | _29153_/D
     2436 |    1001 |    1437 |          142 |       165 |  -0.179 |  -7.403 | _29153_/D
     2440 |    1004 |    1437 |          142 |       165 |  -0.179 |  -7.406 | _29153_/D
     2444 |    1006 |    1437 |          142 |       166 |  -0.179 |  -7.401 | _29153_/D
     2445 |    1006 |    1437 |          142 |       166 |  -0.179 |  -7.403 | _29153_/D
     2450 |    1010 |    1437 |          142 |       166 |  -0.179 |  -7.392 | _29153_/D
     2451 |    1011 |    1437 |          142 |       166 |  -0.179 |  -7.358 | _29153_/D
     2460 |    1017 |    1443 |          142 |       166 |  -0.179 |  -7.370 | _29153_/D
     2470 |    1025 |    1449 |          142 |       167 |  -0.179 |  -7.345 | _29153_/D
     2480 |    1034 |    1449 |          142 |       168 |  -0.179 |  -7.361 | _29153_/D
     2480 |    1025 |    1449 |          142 |       168 |  -0.179 |  -7.319 | _29153_/D
     2488 |    1030 |    1453 |          142 |       168 |  -0.179 |  -7.335 | _29153_/D
     2489 |    1030 |    1453 |          142 |       168 |  -0.179 |  -7.340 | _29153_/D
     2490 |    1030 |    1453 |          142 |       168 |  -0.179 |  -7.340 | _29153_/D
     2490 |    1030 |    1453 |          142 |       168 |  -0.179 |  -7.340 | _29153_/D
     2491 |    1030 |    1453 |          142 |       168 |  -0.179 |  -7.340 | _29153_/D
     2492 |    1030 |    1453 |          142 |       168 |  -0.179 |  -7.340 | _29153_/D
     2494 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2495 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2496 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2497 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2498 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2499 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2500 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2500 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2501 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2502 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2503 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2504 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2505 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2506 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2507 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2508 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2509 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2510 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2510 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2511 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2512 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2513 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2514 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2515 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2516 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2517 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2518 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2519 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2520 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2520 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2521 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2522 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2523 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2524 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2525 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2526 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2527 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2528 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2529 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2530 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2530 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2531 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2532 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2533 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2534 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2535 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2536 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2537 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2538 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2539 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2540 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2540 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2541 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2542 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2543 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2544 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2545 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2546 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2547 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2548 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2549 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2550 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2550 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2551 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2552 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2553 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2554 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
     2555 |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
    final |    1031 |    1453 |          142 |       168 |  -0.179 |  -7.339 | _29153_/D
---------------------------------------------------------------------------------------
[INFO RSZ-0045] Inserted 929 buffers, 1 to split loads.
[INFO RSZ-0041] Resized 1031 instances.
[INFO RSZ-0043] Swapped pins on 168 instances.
[INFO RSZ-0049] Cloned 142 instances.
[WARNING RSZ-0062] Unable to repair all setup violations.
[INFO RSZ-0033] No hold violations found.
Placement Analysis
---------------------------------
total displacement      10624.3 u
average displacement        0.7 u
max displacement           13.9 u
original HPWL          215453.4 u
legalized HPWL         225675.4 u
delta HPWL                    5 %

global_connect
# estimate for metrics
estimate_parasitics -placement
SC_METRIC: report_checks -path_delay max
Startpoint: ld (input port clocked by clk)
Endpoint: _29126_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max

    Cap   Delay    Time   Description
----------------------------------------------------------------
           0.00    0.00   clock clk (rise edge)
           0.00    0.00   clock network delay (propagated)
           0.16    0.16 v input external delay
  53.75    0.00    0.16 v ld (in)
 370.00    0.02    0.18 v input1489/Z (BUF_X32)
  50.40    0.40    0.59 ^ _14773_/ZN (INV_X32)
   1.80    0.03    0.62 v _15694_/ZN (NAND2_X1)
  20.04    0.08    0.70 v _15700_/Z (MUX2_X2)
  33.66    0.03    0.73 ^ _15701_/ZN (NAND2_X4)
  40.12    0.03    0.76 v _15702_/ZN (INV_X4)
  23.50    0.06    0.83 v _28478_/CO (HA_X1)
  12.54    0.04    0.87 ^ _17425_/ZN (OAI21_X2)
   4.02    0.02    0.89 v _17426_/ZN (NAND2_X4)
   1.05    0.06    0.94 v _17449_/Z (MUX2_X1)
   1.05    0.06    1.00 v _17450_/Z (MUX2_X1)
   0.95    0.06    1.05 v _17458_/Z (MUX2_X1)
   2.30    0.06    1.11 v _17470_/Z (MUX2_X1)
   4.09    0.06    1.18 v _17494_/Z (MUX2_X1)
           0.00    1.18 v _29126_/D (DFF_X1)
                   1.18   data arrival time

           0.82    0.82   clock clk (rise edge)
           0.00    0.82   clock source latency
  35.37    0.00    0.82 ^ clk (in)
  21.15    0.03    0.85 ^ clkbuf_0_clk/Z (BUF_X4)
  94.84    0.08    0.93 ^ clkbuf_1_1__f_clk/Z (BUF_X4)
  51.54    0.06    0.99 ^ clkbuf_leaf_4_clk/Z (BUF_X4)
           0.00    0.99 ^ _29126_/CK (DFF_X1)
           0.00    0.99   clock reconvergence pessimism
          -0.03    0.96   library setup time
                   0.96   data required time
----------------------------------------------------------------
                   0.96   data required time
                  -1.18   data arrival time
----------------------------------------------------------------
                  -0.22   slack (VIOLATED)

SC_METRIC: report_checks -path_delay min
Startpoint: text_in[89] (input port clocked by clk)
Endpoint: _28793_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: min

    Cap   Delay    Time   Description
----------------------------------------------------------------
           0.00    0.00   clock clk (rise edge)
           0.00    0.00   clock network delay (propagated)
           0.16    0.16 ^ input external delay
   7.19    0.00    0.16 ^ text_in[89] (in)
   3.52    0.02    0.19 ^ input1606/Z (BUF_X1)
   1.47    0.04    0.22 ^ _28341_/Z (MUX2_X1)
           0.00    0.22 ^ _28793_/D (DFF_X1)
                   0.22   data arrival time

           0.00    0.00   clock clk (rise edge)
           0.00    0.00   clock source latency
  35.37    0.00    0.00 ^ clk (in)
  21.15    0.03    0.03 ^ clkbuf_0_clk/Z (BUF_X4)
  94.84    0.08    0.11 ^ clkbuf_1_1__f_clk/Z (BUF_X4)
  52.67    0.06    0.17 ^ clkbuf_leaf_10_clk/Z (BUF_X4)
           0.00    0.17 ^ _28793_/CK (DFF_X1)
           0.00    0.17   clock reconvergence pessimism
           0.01    0.19   library hold time
                   0.19   data required time
----------------------------------------------------------------
                   0.19   data required time
                  -0.22   data arrival time
----------------------------------------------------------------
                   0.04   slack (MET)

SC_METRIC: unconstrained
Startpoint: ld (input port clocked by clk)
Endpoint: _29126_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max

    Cap   Delay    Time   Description
----------------------------------------------------------------
           0.00    0.00   clock clk (rise edge)
           0.00    0.00   clock network delay (propagated)
           0.16    0.16 v input external delay
  53.75    0.00    0.16 v ld (in)
 370.00    0.02    0.18 v input1489/Z (BUF_X32)
  50.40    0.40    0.59 ^ _14773_/ZN (INV_X32)
   1.80    0.03    0.62 v _15694_/ZN (NAND2_X1)
  20.04    0.08    0.70 v _15700_/Z (MUX2_X2)
  33.66    0.03    0.73 ^ _15701_/ZN (NAND2_X4)
  40.12    0.03    0.76 v _15702_/ZN (INV_X4)
  23.50    0.06    0.83 v _28478_/CO (HA_X1)
  12.54    0.04    0.87 ^ _17425_/ZN (OAI21_X2)
   4.02    0.02    0.89 v _17426_/ZN (NAND2_X4)
   1.05    0.06    0.94 v _17449_/Z (MUX2_X1)
   1.05    0.06    1.00 v _17450_/Z (MUX2_X1)
   0.95    0.06    1.05 v _17458_/Z (MUX2_X1)
   2.30    0.06    1.11 v _17470_/Z (MUX2_X1)
   4.09    0.06    1.18 v _17494_/Z (MUX2_X1)
           0.00    1.18 v _29126_/D (DFF_X1)
                   1.18   data arrival time

           0.82    0.82   clock clk (rise edge)
           0.00    0.82   clock source latency
  35.37    0.00    0.82 ^ clk (in)
  21.15    0.03    0.85 ^ clkbuf_0_clk/Z (BUF_X4)
  94.84    0.08    0.93 ^ clkbuf_1_1__f_clk/Z (BUF_X4)
  51.54    0.06    0.99 ^ clkbuf_leaf_4_clk/Z (BUF_X4)
           0.00    0.99 ^ _29126_/CK (DFF_X1)
           0.00    0.99   clock reconvergence pessimism
          -0.03    0.96   library setup time
                   0.96   data required time
----------------------------------------------------------------
                   0.96   data required time
                  -1.18   data arrival time
----------------------------------------------------------------
                  -0.22   slack (VIOLATED)

SC_METRIC: clock_skew
Clock clk
Latency      CRPR       Skew
_29095_/CK ^
   0.17
_28904_/CK ^
   0.13      0.00       0.04

SC_METRIC: DRV violators
max capacitance

Pin                                    Limit     Cap   Slack
------------------------------------------------------------
_26264_/ZN                            104.07  110.69   -6.63 (VIOLATED)
clone781/ZN                            53.41   53.82   -0.42 (VIOLATED)
_26909_/ZN                            104.07  104.16   -0.10 (VIOLATED)

SC_METRIC: floating nets
[WARNING RSZ-0020] found 79 floating nets.
 net1069
 net1072
 net1073
 net1078
 net1111
 net1112
 net1113
 net1135
 net1138
 net1151
 net1152
 net1153
 net1178
 net1183
 net1184
 net1243
 net1245
 net1269
 net1270
 net1271
 net133
 net135
 net136
 net228
 net232
 net314
 net399
 net400
 net401
 net402
 net403
 net406
 net408
 net413
 net415
 net416
 net417
 net419
 net420
 net453
 net455
 net457
 net549
 net550
 net567
 net568
 net570
 net571
 net574
 net61
 net62
 net63
 net635
 net64
 net65
 net66
 net708
 net710
 net729
 net730
 net731
 net732
 net733
 net74
 net745
 net746
 net75
 net76
 net77
 net776
 net780
 net83
 net84
 net841
 net845
 net846
 net85
 net868
 net90
SC_METRIC: tns
tns -13.98

SC_METRIC: setupslack
worst slack -0.22

SC_METRIC: holdslack
worst slack 0.04

SC_METRIC: fmax
960.0213894408657 MHz
SC_METRIC: power
Power for corner: typical
Group                  Internal  Switching    Leakage      Total
                          Power      Power      Power      Power (Watts)
----------------------------------------------------------------
Sequential             1.16e-02   4.77e-03   5.90e-05   1.64e-02   4.0%
Combinational          1.93e-01   2.01e-01   5.45e-04   3.95e-01  96.0%
Macro                  0.00e+00   0.00e+00   0.00e+00   0.00e+00   0.0%
Pad                    0.00e+00   0.00e+00   0.00e+00   0.00e+00   0.0%
----------------------------------------------------------------
Total                  2.05e-01   2.06e-01   6.04e-04   4.11e-01 100.0%
                          49.8%      50.0%       0.1%

SC_METRIC: cellarea
Design area 23343 u^2 12% utilization.
[INFO FLW-0001] Saving "snapshot" to reports/images/aes_cipher_top.png
[INFO FLW-0001] Saving "placement" to reports/images/aes_cipher_top.placement.png
[INFO FLW-0001] Saving "placement density heatmap" to reports/images/heatmap/placement_density.png
[INFO FLW-0001] Saving "power density for typical heatmap" to reports/images/heatmap/power_density/typical.png
[INFO FLW-0001] Saving "clocks" to reports/images/aes_cipher_top.clocks.png
[INFO FLW-0001] Saving clk clock tree for typical in reports/images/clocktree/clk.typical.png
[INFO FLW-0001] Saving "optimizer" to reports/images/aes_cipher_top.optimizer.png

Screenshots

No response

Additional Context

No response

gadfort commented 11 months ago

The file to add or remove the -skip_gate_cloning to is located in: build/aes/job0_freepdk45_demo_orfs/sc_collected_files/scripts_fccbfa790db5ddcc0abfcccac3b21bc686d7535a/sc_cts.tcl

rovinski commented 10 months ago

@gadfort resolved?