The-OpenROAD-Project / OpenSTA

OpenSTA engine
GNU General Public License v3.0
404 stars 173 forks source link

Interpolation method in OpenSTA #162

Closed tamood closed 1 month ago

tamood commented 1 year ago

I am developing an analytics tool around OpenSTA-dumped data.

For that, I am looking for the code that interpolates NLDM data tables from the liberty, in order to find arc delays and output slews.

Can some maintainer point me towards the place in OpenSTA where these calculations are performed?

akashlevy commented 1 month ago

For future reference, the code for delay/slew calculations is pretty much all in the dcalc/ directory. Specifically, dcalc/LumpedCapDelayCalc.cc is where you might want to look.

maliberty commented 1 month ago

Issues or PRs should be filed with https://github.com/parallaxsw/OpenSTA if still relevant. This is effectively a fork (though not strictly for historical reasons).