The-OpenROAD-Project / OpenSTA

OpenSTA engine
GNU General Public License v3.0
404 stars 173 forks source link

make failed using tcl8.6 on ubuntu platform #54

Closed simintao closed 3 years ago

simintao commented 4 years ago

[ 0%] Generating StaTclInitVar.cc cd /cygdrive/e/Opensource/OpenSTA && etc/TclEncode.tcl /cygdrive/e/Opensource/OpenSTA/build_linux/StaTclInitVar.cc tcl_inits tcl/Util.tcl tcl/Graph.tcl tcl/Liberty.tcl tcl/Link.tcl tcl/Network.tcl tcl/NetworkEdit.tcl tcl/Sdc.tcl tcl/Search.tcl tcl/Cmds.tcl tcl/Variables.tcl tcl/Sta.tcl tcl/Power.tcl tcl/Splash.tcl dcalc/DelayCalc.tcl parasitics/Parasitics.tcl sdf/Sdf.tcl verilog/Verilog.tcl ": no such file or directoryog.tcl while executing "open $filename r" (procedure "encode_file" line 2) invoked from within "encode_file $filename" ("foreach" body line 2) invoked from within "foreach filename $init_filenames { encode_file $filename }" (file "etc/TclEncode.tcl" line 75)

make[2]: [CMakeFiles/OpenSTA.dir/build.make:102:StaTclInitVar.cc] 错误 1 make[2]: 正在删除文件“StaTclInitVar.cc” make[2]: 离开目录“/cygdrive/e/Opensource/OpenSTA/build_linux” make[1]: [CMakeFiles/Makefile2:159:CMakeFiles/OpenSTA.dir/all] 错误 2 make[1]: 离开目录“/cygdrive/e/Opensource/OpenSTA/build_linux” make: [Makefile:153:all] 错误 2

jjcherry56 commented 4 years ago

build_linux is not part of OpenSTA. Please provide a complete log of your build attempt. It looks like you are trying to build from the wrong working directory.

simintao commented 3 years ago

fix, It is windows tcl file can not be read on linux