The-OpenROAD-Project / OpenSTA

OpenSTA engine
GNU General Public License v3.0
389 stars 170 forks source link

cmd issue #70

Closed 1347806 closed 3 years ago

1347806 commented 3 years ago

Hi! thanks to the developers of this software. I am a freash man about OpenSTA. I follow the manual and run the example1.tcl successfully,but when I used cmd like all_clocks or all_register the tools report like this % all_clocks _400ac1dfff7f0000_p_Clock

% all_register _d095c0dfff7f0000_p_Instance _5099c0dfff7f0000_p_Instance _e0a0c0dfff7f0000_p_Instance I check the example1.tcl and find create_clock -name clk -period 10 {clk1 clk2 clk3} the design has 3 clocks:clk1 clk2 and clk3. Can OpenSTA report as normal name of objects like clock or register when use cmd like all_clocks or all_register? Do I need to install other prerequisites to achieve this function??

jjcherry56 commented 3 years ago

get_name