The-OpenROAD-Project / asap7

BSD 3-Clause "New" or "Revised" License
76 stars 6 forks source link

Error Converting _SEQ_ .lib #19

Open efferto opened 3 years ago

efferto commented 3 years ago

Hello,

I'm converting .lib files to .db with lc_shell. Everything got converted except for SEQ modules for both timing models (NLDM and CCS). An example of error in the following:

lc_shell> read_lib asap7sc7p5t_SEQ_RVT_TT_nldm_201020.lib
Reading '/asap7/asap7sc7p5t_27/LIB/NLDM/asap7sc7p5t_SEQ_RVT_TT_nldm_201020.lib' ...
Warning: Line 34, The 'default_inout_pin_cap' attribute is not specified. Using 1.00. (LBDB-172)
Warning: Line 34, The 'default_input_pin_cap' attribute is not specified. Using 1.00. (LBDB-172)
Warning: Line 34, The 'default_leakage_power_density' attribute is not specified. Using 0.00. (LBDB-172)
Warning: Line 34, The 'internal_power_calculation' attribute in char_config group is required for NLPM library. 
    No default can be applied to this attribute. (LBDB-366)
Warning: Line 575, Cell 'ASYNC_DFFHx1_ASAP7_75t_R', pin 'QN', The when attribute uses 'CLK * RESET' pins
    that cannot be found in the clear attribute RESET. (LIBG-115)
Warning: Line 608, Cell 'ASYNC_DFFHx1_ASAP7_75t_R', pin 'QN', The when attribute uses '!CLK * D * RESET' pins
    that cannot be found in the clear attribute RESET. (LIBG-115)
Warning: Line 608, Cell 'ASYNC_DFFHx1_ASAP7_75t_R', pin 'QN', The when attribute uses '!CLK * D * RESET' pins
    that cannot be found in the clear attribute RESET. (LIBG-115)
Warning: Line 641, Cell 'ASYNC_DFFHx1_ASAP7_75t_R', pin 'QN', The when attribute uses '!CLK * !D * RESET' pins
    that cannot be found in the clear attribute RESET. (LIBG-115)
Warning: Line 641, Cell 'ASYNC_DFFHx1_ASAP7_75t_R', pin 'QN', The when attribute uses '!CLK * !D * RESET' pins
    that cannot be found in the clear attribute RESET. (LIBG-115)
Error: Line 10430, Cell 'ICGx1_ASAP7_75t_R', pin 'GCLK', There is a missing timing arc between pins 'IQ' and
    'GCLK' in the 'ICGx1_ASAP7_75t_R' cell. (LBDB-23)
Warning: Line 10444, Cell 'ICGx1_ASAP7_75t_R', pin 'GCLK', The 'when' attribute ((ENA) + (!ENA * SE)) uses pins
    that cannot be found in function attribute (CLK & IQ). (LIBG-41)
Warning: Line 10444, Cell 'ICGx1_ASAP7_75t_R', pin 'GCLK', The 'when' attribute ((ENA) + (!ENA * SE)) uses pins
    that cannot be found in function attribute (CLK & IQ). (LIBG-41)
Warning: Line 10477, Cell 'ICGx1_ASAP7_75t_R', pin 'GCLK', The 'when' attribute (!ENA * !SE) uses pins
    that cannot be found in function attribute (CLK & IQ). (LIBG-41)
Warning: Line 10477, Cell 'ICGx1_ASAP7_75t_R', pin 'GCLK', The 'when' attribute (!ENA * !SE) uses pins
    that cannot be found in function attribute (CLK & IQ). (LIBG-41)
Warning: Line 10670, Cell 'ICGx1_ASAP7_75t_R', pin 'CLK', The 'ICGx1_ASAP7_75t_R' combinational cell has a 'CLK' pin with
    a sequential timing arc containing the 'min_pulse_width' timing_type. (LBDB-243)
Warning: Line 10688, Cell 'ICGx1_ASAP7_75t_R', pin 'CLK', The 'ICGx1_ASAP7_75t_R' combinational cell has a 'CLK' pin with
    a sequential timing arc containing the 'min_pulse_width' timing_type. (LBDB-243)
Warning: Line 11014, Cell 'ICGx1_ASAP7_75t_R', pin 'SE', The 'ICGx1_ASAP7_75t_R' combinational cell has a 'SE' pin with
    a sequential timing arc containing the 'hold_rising' timing_type. (LBDB-243)
Warning: Line 11046, Cell 'ICGx1_ASAP7_75t_R', pin 'SE', The 'ICGx1_ASAP7_75t_R' combinational cell has a 'SE' pin with
    a sequential timing arc containing the 'hold_rising' timing_type. (LBDB-243)
Warning: Line 11076, Cell 'ICGx1_ASAP7_75t_R', pin 'SE', The 'ICGx1_ASAP7_75t_R' combinational cell has a 'SE' pin with
    a sequential timing arc containing the 'setup_rising' timing_type. (LBDB-243)
Warning: Line 11108, Cell 'ICGx1_ASAP7_75t_R', pin 'SE', The 'ICGx1_ASAP7_75t_R' combinational cell has a 'SE' pin with
    a sequential timing arc containing the 'setup_rising' timing_type. (LBDB-243)
Error: Line 11379, Cell 'ICGx2_ASAP7_75t_R', pin 'GCLK', There is a missing timing arc between pins 'IQ' and
    'GCLK' in the 'ICGx2_ASAP7_75t_R' cell. (LBDB-23)
Warning: Line 11393, Cell 'ICGx2_ASAP7_75t_R', pin 'GCLK', The 'when' attribute ((ENA) + (!ENA * SE)) uses pins
    that cannot be found in function attribute (CLK & IQ). (LIBG-41)
Warning: Line 11393, Cell 'ICGx2_ASAP7_75t_R', pin 'GCLK', The 'when' attribute ((ENA) + (!ENA * SE)) uses pins
    that cannot be found in function attribute (CLK & IQ). (LIBG-41)
Warning: Line 11426, Cell 'ICGx2_ASAP7_75t_R', pin 'GCLK', The 'when' attribute (!ENA * !SE) uses pins
    that cannot be found in function attribute (CLK & IQ). (LIBG-41)
Warning: Line 11426, Cell 'ICGx2_ASAP7_75t_R', pin 'GCLK', The 'when' attribute (!ENA * !SE) uses pins
    that cannot be found in function attribute (CLK & IQ). (LIBG-41)
Warning: Line 11619, Cell 'ICGx2_ASAP7_75t_R', pin 'CLK', The 'ICGx2_ASAP7_75t_R' combinational cell has a 'CLK' pin with
    a sequential timing arc containing the 'min_pulse_width' timing_type. (LBDB-243)
Warning: Line 11637, Cell 'ICGx2_ASAP7_75t_R', pin 'CLK', The 'ICGx2_ASAP7_75t_R' combinational cell has a 'CLK' pin with
    a sequential timing arc containing the 'min_pulse_width' timing_type. (LBDB-243)
Warning: Line 11963, Cell 'ICGx2_ASAP7_75t_R', pin 'SE', The 'ICGx2_ASAP7_75t_R' combinational cell has a 'SE' pin with
    a sequential timing arc containing the 'hold_rising' timing_type. (LBDB-243)
Warning: Line 11995, Cell 'ICGx2_ASAP7_75t_R', pin 'SE', The 'ICGx2_ASAP7_75t_R' combinational cell has a 'SE' pin with
    a sequential timing arc containing the 'hold_rising' timing_type. (LBDB-243)
Warning: Line 12025, Cell 'ICGx2_ASAP7_75t_R', pin 'SE', The 'ICGx2_ASAP7_75t_R' combinational cell has a 'SE' pin with
    a sequential timing arc containing the 'setup_rising' timing_type. (LBDB-243)
Warning: Line 12057, Cell 'ICGx2_ASAP7_75t_R', pin 'SE', The 'ICGx2_ASAP7_75t_R' combinational cell has a 'SE' pin with
    a sequential timing arc containing the 'setup_rising' timing_type. (LBDB-243)
Error: Line 12328, Cell 'ICGx2p67DC_ASAP7_75t_R', pin 'GCLK', There is a missing timing arc between pins 'IQ' and
    'GCLK' in the 'ICGx2p67DC_ASAP7_75t_R' cell. (LBDB-23)
Warning: Line 12342, Cell 'ICGx2p67DC_ASAP7_75t_R', pin 'GCLK', The 'when' attribute ((ENA) + (!ENA * SE)) uses pins
    that cannot be found in function attribute (CLK & IQ). (LIBG-41)
Warning: Line 12342, Cell 'ICGx2p67DC_ASAP7_75t_R', pin 'GCLK', The 'when' attribute ((ENA) + (!ENA * SE)) uses pins
    that cannot be found in function attribute (CLK & IQ). (LIBG-41)
Warning: Line 12375, Cell 'ICGx2p67DC_ASAP7_75t_R', pin 'GCLK', The 'when' attribute (!ENA * !SE) uses pins
    that cannot be found in function attribute (CLK & IQ). (LIBG-41)
Warning: Line 12375, Cell 'ICGx2p67DC_ASAP7_75t_R', pin 'GCLK', The 'when' attribute (!ENA * !SE) uses pins
    that cannot be found in function attribute (CLK & IQ). (LIBG-41)
Warning: Line 12568, Cell 'ICGx2p67DC_ASAP7_75t_R', pin 'CLK', The 'ICGx2p67DC_ASAP7_75t_R' combinational cell has a 'CLK' pin with
    a sequential timing arc containing the 'min_pulse_width' timing_type. (LBDB-243)
Warning: Line 12586, Cell 'ICGx2p67DC_ASAP7_75t_R', pin 'CLK', The 'ICGx2p67DC_ASAP7_75t_R' combinational cell has a 'CLK' pin with
    a sequential timing arc containing the 'min_pulse_width' timing_type. (LBDB-243)
Warning: Line 12912, Cell 'ICGx2p67DC_ASAP7_75t_R', pin 'SE', The 'ICGx2p67DC_ASAP7_75t_R' combinational cell has a 'SE' pin with
    a sequential timing arc containing the 'hold_rising' timing_type. (LBDB-243)
Warning: Line 12944, Cell 'ICGx2p67DC_ASAP7_75t_R', pin 'SE', The 'ICGx2p67DC_ASAP7_75t_R' combinational cell has a 'SE' pin with
    a sequential timing arc containing the 'hold_rising' timing_type. (LBDB-243)
Warning: Line 12974, Cell 'ICGx2p67DC_ASAP7_75t_R', pin 'SE', The 'ICGx2p67DC_ASAP7_75t_R' combinational cell has a 'SE' pin with
    a sequential timing arc containing the 'setup_rising' timing_type. (LBDB-243)
Warning: Line 13006, Cell 'ICGx2p67DC_ASAP7_75t_R', pin 'SE', The 'ICGx2p67DC_ASAP7_75t_R' combinational cell has a 'SE' pin with
    a sequential timing arc containing the 'setup_rising' timing_type. (LBDB-243)
Error: Line 13277, Cell 'ICGx3_ASAP7_75t_R', pin 'GCLK', There is a missing timing arc between pins 'IQ' and
    'GCLK' in the 'ICGx3_ASAP7_75t_R' cell. (LBDB-23)
Warning: Line 13291, Cell 'ICGx3_ASAP7_75t_R', pin 'GCLK', The 'when' attribute ((ENA) + (!ENA * SE)) uses pins
    that cannot be found in function attribute (CLK & IQ). (LIBG-41)
Warning: Line 13291, Cell 'ICGx3_ASAP7_75t_R', pin 'GCLK', The 'when' attribute ((ENA) + (!ENA * SE)) uses pins
    that cannot be found in function attribute (CLK & IQ). (LIBG-41)
Warning: Line 13324, Cell 'ICGx3_ASAP7_75t_R', pin 'GCLK', The 'when' attribute (!ENA * !SE) uses pins
    that cannot be found in function attribute (CLK & IQ). (LIBG-41)
Warning: Line 13324, Cell 'ICGx3_ASAP7_75t_R', pin 'GCLK', The 'when' attribute (!ENA * !SE) uses pins
    that cannot be found in function attribute (CLK & IQ). (LIBG-41)
Warning: Line 13517, Cell 'ICGx3_ASAP7_75t_R', pin 'CLK', The 'ICGx3_ASAP7_75t_R' combinational cell has a 'CLK' pin with
    a sequential timing arc containing the 'min_pulse_width' timing_type. (LBDB-243)
Warning: Line 13535, Cell 'ICGx3_ASAP7_75t_R', pin 'CLK', The 'ICGx3_ASAP7_75t_R' combinational cell has a 'CLK' pin with
    a sequential timing arc containing the 'min_pulse_width' timing_type. (LBDB-243)
Warning: Line 13861, Cell 'ICGx3_ASAP7_75t_R', pin 'SE', The 'ICGx3_ASAP7_75t_R' combinational cell has a 'SE' pin with
    a sequential timing arc containing the 'hold_rising' timing_type. (LBDB-243)
Warning: Line 13893, Cell 'ICGx3_ASAP7_75t_R', pin 'SE', The 'ICGx3_ASAP7_75t_R' combinational cell has a 'SE' pin with
    a sequential timing arc containing the 'hold_rising' timing_type. (LBDB-243)
Warning: Line 13923, Cell 'ICGx3_ASAP7_75t_R', pin 'SE', The 'ICGx3_ASAP7_75t_R' combinational cell has a 'SE' pin with
    a sequential timing arc containing the 'setup_rising' timing_type. (LBDB-243)
Warning: Line 13955, Cell 'ICGx3_ASAP7_75t_R', pin 'SE', The 'ICGx3_ASAP7_75t_R' combinational cell has a 'SE' pin with
    a sequential timing arc containing the 'setup_rising' timing_type. (LBDB-243)
Error: Line 14226, Cell 'ICGx4DC_ASAP7_75t_R', pin 'GCLK', There is a missing timing arc between pins 'IQ' and
    'GCLK' in the 'ICGx4DC_ASAP7_75t_R' cell. (LBDB-23)
Warning: Line 14240, Cell 'ICGx4DC_ASAP7_75t_R', pin 'GCLK', The 'when' attribute ((ENA) + (!ENA * SE)) uses pins
    that cannot be found in function attribute (CLK & IQ). (LIBG-41)
Warning: Line 14240, Cell 'ICGx4DC_ASAP7_75t_R', pin 'GCLK', The 'when' attribute ((ENA) + (!ENA * SE)) uses pins
    that cannot be found in function attribute (CLK & IQ). (LIBG-41)
Warning: Line 14273, Cell 'ICGx4DC_ASAP7_75t_R', pin 'GCLK', The 'when' attribute (!ENA * !SE) uses pins
    that cannot be found in function attribute (CLK & IQ). (LIBG-41)
Warning: Line 14273, Cell 'ICGx4DC_ASAP7_75t_R', pin 'GCLK', The 'when' attribute (!ENA * !SE) uses pins
    that cannot be found in function attribute (CLK & IQ). (LIBG-41)
Warning: Line 14466, Cell 'ICGx4DC_ASAP7_75t_R', pin 'CLK', The 'ICGx4DC_ASAP7_75t_R' combinational cell has a 'CLK' pin with
    a sequential timing arc containing the 'min_pulse_width' timing_type. (LBDB-243)
Warning: Line 14484, Cell 'ICGx4DC_ASAP7_75t_R', pin 'CLK', The 'ICGx4DC_ASAP7_75t_R' combinational cell has a 'CLK' pin with
    a sequential timing arc containing the 'min_pulse_width' timing_type. (LBDB-243)
Warning: Line 14810, Cell 'ICGx4DC_ASAP7_75t_R', pin 'SE', The 'ICGx4DC_ASAP7_75t_R' combinational cell has a 'SE' pin with
    a sequential timing arc containing the 'hold_rising' timing_type. (LBDB-243)
Warning: Line 14842, Cell 'ICGx4DC_ASAP7_75t_R', pin 'SE', The 'ICGx4DC_ASAP7_75t_R' combinational cell has a 'SE' pin with
    a sequential timing arc containing the 'hold_rising' timing_type. (LBDB-243)
Warning: Line 14872, Cell 'ICGx4DC_ASAP7_75t_R', pin 'SE', The 'ICGx4DC_ASAP7_75t_R' combinational cell has a 'SE' pin with
    a sequential timing arc containing the 'setup_rising' timing_type. (LBDB-243)
Warning: Line 14904, Cell 'ICGx4DC_ASAP7_75t_R', pin 'SE', The 'ICGx4DC_ASAP7_75t_R' combinational cell has a 'SE' pin with
    a sequential timing arc containing the 'setup_rising' timing_type. (LBDB-243)
Error: Line 15175, Cell 'ICGx4_ASAP7_75t_R', pin 'GCLK', There is a missing timing arc between pins 'IQ' and
    'GCLK' in the 'ICGx4_ASAP7_75t_R' cell. (LBDB-23)
Warning: Line 15189, Cell 'ICGx4_ASAP7_75t_R', pin 'GCLK', The 'when' attribute ((ENA) + (!ENA * SE)) uses pins
    that cannot be found in function attribute (CLK & IQ). (LIBG-41)
Warning: Line 15189, Cell 'ICGx4_ASAP7_75t_R', pin 'GCLK', The 'when' attribute ((ENA) + (!ENA * SE)) uses pins
    that cannot be found in function attribute (CLK & IQ). (LIBG-41)
Warning: Line 15222, Cell 'ICGx4_ASAP7_75t_R', pin 'GCLK', The 'when' attribute (!ENA * !SE) uses pins
    that cannot be found in function attribute (CLK & IQ). (LIBG-41)
Warning: Line 15222, Cell 'ICGx4_ASAP7_75t_R', pin 'GCLK', The 'when' attribute (!ENA * !SE) uses pins
    that cannot be found in function attribute (CLK & IQ). (LIBG-41)
Warning: Line 15415, Cell 'ICGx4_ASAP7_75t_R', pin 'CLK', The 'ICGx4_ASAP7_75t_R' combinational cell has a 'CLK' pin with
    a sequential timing arc containing the 'min_pulse_width' timing_type. (LBDB-243)
Warning: Line 15433, Cell 'ICGx4_ASAP7_75t_R', pin 'CLK', The 'ICGx4_ASAP7_75t_R' combinational cell has a 'CLK' pin with
    a sequential timing arc containing the 'min_pulse_width' timing_type. (LBDB-243)
Warning: Line 15759, Cell 'ICGx4_ASAP7_75t_R', pin 'SE', The 'ICGx4_ASAP7_75t_R' combinational cell has a 'SE' pin with
    a sequential timing arc containing the 'hold_rising' timing_type. (LBDB-243)
Warning: Line 15791, Cell 'ICGx4_ASAP7_75t_R', pin 'SE', The 'ICGx4_ASAP7_75t_R' combinational cell has a 'SE' pin with
    a sequential timing arc containing the 'hold_rising' timing_type. (LBDB-243)
Warning: Line 15821, Cell 'ICGx4_ASAP7_75t_R', pin 'SE', The 'ICGx4_ASAP7_75t_R' combinational cell has a 'SE' pin with
    a sequential timing arc containing the 'setup_rising' timing_type. (LBDB-243)
Warning: Line 15853, Cell 'ICGx4_ASAP7_75t_R', pin 'SE', The 'ICGx4_ASAP7_75t_R' combinational cell has a 'SE' pin with
    a sequential timing arc containing the 'setup_rising' timing_type. (LBDB-243)
Error: Line 16124, Cell 'ICGx5_ASAP7_75t_R', pin 'GCLK', There is a missing timing arc between pins 'IQ' and
    'GCLK' in the 'ICGx5_ASAP7_75t_R' cell. (LBDB-23)
Warning: Line 16138, Cell 'ICGx5_ASAP7_75t_R', pin 'GCLK', The 'when' attribute ((ENA) + (!ENA * SE)) uses pins
    that cannot be found in function attribute (CLK & IQ). (LIBG-41)
Warning: Line 16138, Cell 'ICGx5_ASAP7_75t_R', pin 'GCLK', The 'when' attribute ((ENA) + (!ENA * SE)) uses pins
    that cannot be found in function attribute (CLK & IQ). (LIBG-41)
Warning: Line 16171, Cell 'ICGx5_ASAP7_75t_R', pin 'GCLK', The 'when' attribute (!ENA * !SE) uses pins
    that cannot be found in function attribute (CLK & IQ). (LIBG-41)
Warning: Line 16171, Cell 'ICGx5_ASAP7_75t_R', pin 'GCLK', The 'when' attribute (!ENA * !SE) uses pins
    that cannot be found in function attribute (CLK & IQ). (LIBG-41)
Warning: Line 16364, Cell 'ICGx5_ASAP7_75t_R', pin 'CLK', The 'ICGx5_ASAP7_75t_R' combinational cell has a 'CLK' pin with
    a sequential timing arc containing the 'min_pulse_width' timing_type. (LBDB-243)
Warning: Line 16382, Cell 'ICGx5_ASAP7_75t_R', pin 'CLK', The 'ICGx5_ASAP7_75t_R' combinational cell has a 'CLK' pin with
    a sequential timing arc containing the 'min_pulse_width' timing_type. (LBDB-243)
Warning: Line 16708, Cell 'ICGx5_ASAP7_75t_R', pin 'SE', The 'ICGx5_ASAP7_75t_R' combinational cell has a 'SE' pin with
    a sequential timing arc containing the 'hold_rising' timing_type. (LBDB-243)
Warning: Line 16740, Cell 'ICGx5_ASAP7_75t_R', pin 'SE', The 'ICGx5_ASAP7_75t_R' combinational cell has a 'SE' pin with
    a sequential timing arc containing the 'hold_rising' timing_type. (LBDB-243)
Warning: Line 16770, Cell 'ICGx5_ASAP7_75t_R', pin 'SE', The 'ICGx5_ASAP7_75t_R' combinational cell has a 'SE' pin with
    a sequential timing arc containing the 'setup_rising' timing_type. (LBDB-243)
Warning: Line 16802, Cell 'ICGx5_ASAP7_75t_R', pin 'SE', The 'ICGx5_ASAP7_75t_R' combinational cell has a 'SE' pin with
    a sequential timing arc containing the 'setup_rising' timing_type. (LBDB-243)
Error: Line 17073, Cell 'ICGx5p33DC_ASAP7_75t_R', pin 'GCLK', There is a missing timing arc between pins 'IQ' and
    'GCLK' in the 'ICGx5p33DC_ASAP7_75t_R' cell. (LBDB-23)
Warning: Line 17087, Cell 'ICGx5p33DC_ASAP7_75t_R', pin 'GCLK', The 'when' attribute ((ENA) + (!ENA * SE)) uses pins
    that cannot be found in function attribute (CLK & IQ). (LIBG-41)
Warning: Line 17087, Cell 'ICGx5p33DC_ASAP7_75t_R', pin 'GCLK', The 'when' attribute ((ENA) + (!ENA * SE)) uses pins
    that cannot be found in function attribute (CLK & IQ). (LIBG-41)
Warning: Line 17120, Cell 'ICGx5p33DC_ASAP7_75t_R', pin 'GCLK', The 'when' attribute (!ENA * !SE) uses pins
    that cannot be found in function attribute (CLK & IQ). (LIBG-41)
Warning: Line 17120, Cell 'ICGx5p33DC_ASAP7_75t_R', pin 'GCLK', The 'when' attribute (!ENA * !SE) uses pins
    that cannot be found in function attribute (CLK & IQ). (LIBG-41)
Warning: Line 17313, Cell 'ICGx5p33DC_ASAP7_75t_R', pin 'CLK', The 'ICGx5p33DC_ASAP7_75t_R' combinational cell has a 'CLK' pin with
    a sequential timing arc containing the 'min_pulse_width' timing_type. (LBDB-243)
Warning: Line 17331, Cell 'ICGx5p33DC_ASAP7_75t_R', pin 'CLK', The 'ICGx5p33DC_ASAP7_75t_R' combinational cell has a 'CLK' pin with
    a sequential timing arc containing the 'min_pulse_width' timing_type. (LBDB-243)
Warning: Line 17657, Cell 'ICGx5p33DC_ASAP7_75t_R', pin 'SE', The 'ICGx5p33DC_ASAP7_75t_R' combinational cell has a 'SE' pin with
    a sequential timing arc containing the 'hold_rising' timing_type. (LBDB-243)
Warning: Line 17689, Cell 'ICGx5p33DC_ASAP7_75t_R', pin 'SE', The 'ICGx5p33DC_ASAP7_75t_R' combinational cell has a 'SE' pin with
    a sequential timing arc containing the 'hold_rising' timing_type. (LBDB-243)
Warning: Line 17719, Cell 'ICGx5p33DC_ASAP7_75t_R', pin 'SE', The 'ICGx5p33DC_ASAP7_75t_R' combinational cell has a 'SE' pin with
    a sequential timing arc containing the 'setup_rising' timing_type. (LBDB-243)
Warning: Line 17751, Cell 'ICGx5p33DC_ASAP7_75t_R', pin 'SE', The 'ICGx5p33DC_ASAP7_75t_R' combinational cell has a 'SE' pin with
    a sequential timing arc containing the 'setup_rising' timing_type. (LBDB-243)
Error: Line 18022, Cell 'ICGx6p67DC_ASAP7_75t_R', pin 'GCLK', There is a missing timing arc between pins 'IQ' and
    'GCLK' in the 'ICGx6p67DC_ASAP7_75t_R' cell. (LBDB-23)
Warning: Line 18036, Cell 'ICGx6p67DC_ASAP7_75t_R', pin 'GCLK', The 'when' attribute ((ENA) + (!ENA * SE)) uses pins
    that cannot be found in function attribute (CLK & IQ). (LIBG-41)
Warning: Line 18036, Cell 'ICGx6p67DC_ASAP7_75t_R', pin 'GCLK', The 'when' attribute ((ENA) + (!ENA * SE)) uses pins
    that cannot be found in function attribute (CLK & IQ). (LIBG-41)
Warning: Line 18069, Cell 'ICGx6p67DC_ASAP7_75t_R', pin 'GCLK', The 'when' attribute (!ENA * !SE) uses pins
    that cannot be found in function attribute (CLK & IQ). (LIBG-41)
Warning: Line 18069, Cell 'ICGx6p67DC_ASAP7_75t_R', pin 'GCLK', The 'when' attribute (!ENA * !SE) uses pins
    that cannot be found in function attribute (CLK & IQ). (LIBG-41)
Warning: Line 18262, Cell 'ICGx6p67DC_ASAP7_75t_R', pin 'CLK', The 'ICGx6p67DC_ASAP7_75t_R' combinational cell has a 'CLK' pin with
    a sequential timing arc containing the 'min_pulse_width' timing_type. (LBDB-243)
Warning: Line 18280, Cell 'ICGx6p67DC_ASAP7_75t_R', pin 'CLK', The 'ICGx6p67DC_ASAP7_75t_R' combinational cell has a 'CLK' pin with
    a sequential timing arc containing the 'min_pulse_width' timing_type. (LBDB-243)
Warning: Line 18606, Cell 'ICGx6p67DC_ASAP7_75t_R', pin 'SE', The 'ICGx6p67DC_ASAP7_75t_R' combinational cell has a 'SE' pin with
    a sequential timing arc containing the 'hold_rising' timing_type. (LBDB-243)
Warning: Line 18638, Cell 'ICGx6p67DC_ASAP7_75t_R', pin 'SE', The 'ICGx6p67DC_ASAP7_75t_R' combinational cell has a 'SE' pin with
    a sequential timing arc containing the 'hold_rising' timing_type. (LBDB-243)
Warning: Line 18668, Cell 'ICGx6p67DC_ASAP7_75t_R', pin 'SE', The 'ICGx6p67DC_ASAP7_75t_R' combinational cell has a 'SE' pin with
    a sequential timing arc containing the 'setup_rising' timing_type. (LBDB-243)
Warning: Line 18700, Cell 'ICGx6p67DC_ASAP7_75t_R', pin 'SE', The 'ICGx6p67DC_ASAP7_75t_R' combinational cell has a 'SE' pin with
    a sequential timing arc containing the 'setup_rising' timing_type. (LBDB-243)
Error: Line 18971, Cell 'ICGx8DC_ASAP7_75t_R', pin 'GCLK', There is a missing timing arc between pins 'IQ' and
    'GCLK' in the 'ICGx8DC_ASAP7_75t_R' cell. (LBDB-23)
Warning: Line 18985, Cell 'ICGx8DC_ASAP7_75t_R', pin 'GCLK', The 'when' attribute ((ENA) + (!ENA * SE)) uses pins
    that cannot be found in function attribute (CLK & IQ). (LIBG-41)
Warning: Line 18985, Cell 'ICGx8DC_ASAP7_75t_R', pin 'GCLK', The 'when' attribute ((ENA) + (!ENA * SE)) uses pins
    that cannot be found in function attribute (CLK & IQ). (LIBG-41)
Warning: Line 19018, Cell 'ICGx8DC_ASAP7_75t_R', pin 'GCLK', The 'when' attribute (!ENA * !SE) uses pins
    that cannot be found in function attribute (CLK & IQ). (LIBG-41)
Warning: Line 19018, Cell 'ICGx8DC_ASAP7_75t_R', pin 'GCLK', The 'when' attribute (!ENA * !SE) uses pins
    that cannot be found in function attribute (CLK & IQ). (LIBG-41)
Warning: Line 19211, Cell 'ICGx8DC_ASAP7_75t_R', pin 'CLK', The 'ICGx8DC_ASAP7_75t_R' combinational cell has a 'CLK' pin with
    a sequential timing arc containing the 'min_pulse_width' timing_type. (LBDB-243)
Warning: Line 19229, Cell 'ICGx8DC_ASAP7_75t_R', pin 'CLK', The 'ICGx8DC_ASAP7_75t_R' combinational cell has a 'CLK' pin with
    a sequential timing arc containing the 'min_pulse_width' timing_type. (LBDB-243)
Warning: Line 19555, Cell 'ICGx8DC_ASAP7_75t_R', pin 'SE', The 'ICGx8DC_ASAP7_75t_R' combinational cell has a 'SE' pin with
    a sequential timing arc containing the 'hold_rising' timing_type. (LBDB-243)
Warning: Line 19587, Cell 'ICGx8DC_ASAP7_75t_R', pin 'SE', The 'ICGx8DC_ASAP7_75t_R' combinational cell has a 'SE' pin with
    a sequential timing arc containing the 'hold_rising' timing_type. (LBDB-243)
Warning: Line 19617, Cell 'ICGx8DC_ASAP7_75t_R', pin 'SE', The 'ICGx8DC_ASAP7_75t_R' combinational cell has a 'SE' pin with
    a sequential timing arc containing the 'setup_rising' timing_type. (LBDB-243)
Warning: Line 19649, Cell 'ICGx8DC_ASAP7_75t_R', pin 'SE', The 'ICGx8DC_ASAP7_75t_R' combinational cell has a 'SE' pin with
    a sequential timing arc containing the 'setup_rising' timing_type. (LBDB-243)
Warning: Line 700, Cell 'ASYNC_DFFHx1_ASAP7_75t_R', pin 'QN', The 'SET' asynchronous input of the 'ASYNC_DFFHx1_ASAP7_75t_R' cell is inconsistent in active level. (LIBG-39)
Error: Line 10436, Cell 'ICGx1_ASAP7_75t_R', In the 'ICGx1_ASAP7_75t_R' cell, the 'IQ' noninput pin 
    cannot be used in the function. (LIBG-4)
Warning: Line 10763, Cell 'ICGx1_ASAP7_75t_R', The 'ENA' pin/bus is unused in the 'ICGx1_ASAP7_75t_R' cell.
 (LIBG-37)
Warning: Line 10999, Cell 'ICGx1_ASAP7_75t_R', The 'SE' pin/bus is unused in the 'ICGx1_ASAP7_75t_R' cell.
 (LIBG-37)
Warning: Line 10426, Cell 'ICGx1_ASAP7_75t_R', Internal pin 'IQ' is dangling. The pin is removed. (LIBG-230)
Error: Line 11385, Cell 'ICGx2_ASAP7_75t_R', In the 'ICGx2_ASAP7_75t_R' cell, the 'IQ' noninput pin 
    cannot be used in the function. (LIBG-4)
Warning: Line 11712, Cell 'ICGx2_ASAP7_75t_R', The 'ENA' pin/bus is unused in the 'ICGx2_ASAP7_75t_R' cell.
 (LIBG-37)
Warning: Line 11948, Cell 'ICGx2_ASAP7_75t_R', The 'SE' pin/bus is unused in the 'ICGx2_ASAP7_75t_R' cell.
 (LIBG-37)
Warning: Line 11375, Cell 'ICGx2_ASAP7_75t_R', Internal pin 'IQ' is dangling. The pin is removed. (LIBG-230)
Error: Line 12334, Cell 'ICGx2p67DC_ASAP7_75t_R', In the 'ICGx2p67DC_ASAP7_75t_R' cell, the 'IQ' noninput pin 
    cannot be used in the function. (LIBG-4)
Warning: Line 12661, Cell 'ICGx2p67DC_ASAP7_75t_R', The 'ENA' pin/bus is unused in the 'ICGx2p67DC_ASAP7_75t_R' cell.
 (LIBG-37)
Warning: Line 12897, Cell 'ICGx2p67DC_ASAP7_75t_R', The 'SE' pin/bus is unused in the 'ICGx2p67DC_ASAP7_75t_R' cell.
 (LIBG-37)
Warning: Line 12324, Cell 'ICGx2p67DC_ASAP7_75t_R', Internal pin 'IQ' is dangling. The pin is removed. (LIBG-230)
Error: Line 13283, Cell 'ICGx3_ASAP7_75t_R', In the 'ICGx3_ASAP7_75t_R' cell, the 'IQ' noninput pin 
    cannot be used in the function. (LIBG-4)
Warning: Line 13610, Cell 'ICGx3_ASAP7_75t_R', The 'ENA' pin/bus is unused in the 'ICGx3_ASAP7_75t_R' cell.
 (LIBG-37)
Warning: Line 13846, Cell 'ICGx3_ASAP7_75t_R', The 'SE' pin/bus is unused in the 'ICGx3_ASAP7_75t_R' cell.
 (LIBG-37)
Warning: Line 13273, Cell 'ICGx3_ASAP7_75t_R', Internal pin 'IQ' is dangling. The pin is removed. (LIBG-230)
Error: Line 14232, Cell 'ICGx4DC_ASAP7_75t_R', In the 'ICGx4DC_ASAP7_75t_R' cell, the 'IQ' noninput pin 
    cannot be used in the function. (LIBG-4)
Warning: Line 14559, Cell 'ICGx4DC_ASAP7_75t_R', The 'ENA' pin/bus is unused in the 'ICGx4DC_ASAP7_75t_R' cell.
 (LIBG-37)
Warning: Line 14795, Cell 'ICGx4DC_ASAP7_75t_R', The 'SE' pin/bus is unused in the 'ICGx4DC_ASAP7_75t_R' cell.
 (LIBG-37)
Warning: Line 14222, Cell 'ICGx4DC_ASAP7_75t_R', Internal pin 'IQ' is dangling. The pin is removed. (LIBG-230)
Error: Line 15181, Cell 'ICGx4_ASAP7_75t_R', In the 'ICGx4_ASAP7_75t_R' cell, the 'IQ' noninput pin 
    cannot be used in the function. (LIBG-4)
Warning: Line 15508, Cell 'ICGx4_ASAP7_75t_R', The 'ENA' pin/bus is unused in the 'ICGx4_ASAP7_75t_R' cell.
 (LIBG-37)
Warning: Line 15744, Cell 'ICGx4_ASAP7_75t_R', The 'SE' pin/bus is unused in the 'ICGx4_ASAP7_75t_R' cell.
 (LIBG-37)
Warning: Line 15171, Cell 'ICGx4_ASAP7_75t_R', Internal pin 'IQ' is dangling. The pin is removed. (LIBG-230)
Error: Line 16130, Cell 'ICGx5_ASAP7_75t_R', In the 'ICGx5_ASAP7_75t_R' cell, the 'IQ' noninput pin 
    cannot be used in the function. (LIBG-4)
Warning: Line 16457, Cell 'ICGx5_ASAP7_75t_R', The 'ENA' pin/bus is unused in the 'ICGx5_ASAP7_75t_R' cell.
 (LIBG-37)
Warning: Line 16693, Cell 'ICGx5_ASAP7_75t_R', The 'SE' pin/bus is unused in the 'ICGx5_ASAP7_75t_R' cell.
 (LIBG-37)
Warning: Line 16120, Cell 'ICGx5_ASAP7_75t_R', Internal pin 'IQ' is dangling. The pin is removed. (LIBG-230)
Error: Line 17079, Cell 'ICGx5p33DC_ASAP7_75t_R', In the 'ICGx5p33DC_ASAP7_75t_R' cell, the 'IQ' noninput pin 
    cannot be used in the function. (LIBG-4)
Warning: Line 17406, Cell 'ICGx5p33DC_ASAP7_75t_R', The 'ENA' pin/bus is unused in the 'ICGx5p33DC_ASAP7_75t_R' cell.
 (LIBG-37)
Warning: Line 17642, Cell 'ICGx5p33DC_ASAP7_75t_R', The 'SE' pin/bus is unused in the 'ICGx5p33DC_ASAP7_75t_R' cell.
 (LIBG-37)
Warning: Line 17069, Cell 'ICGx5p33DC_ASAP7_75t_R', Internal pin 'IQ' is dangling. The pin is removed. (LIBG-230)
Error: Line 18028, Cell 'ICGx6p67DC_ASAP7_75t_R', In the 'ICGx6p67DC_ASAP7_75t_R' cell, the 'IQ' noninput pin 
    cannot be used in the function. (LIBG-4)
Warning: Line 18355, Cell 'ICGx6p67DC_ASAP7_75t_R', The 'ENA' pin/bus is unused in the 'ICGx6p67DC_ASAP7_75t_R' cell.
 (LIBG-37)
Warning: Line 18591, Cell 'ICGx6p67DC_ASAP7_75t_R', The 'SE' pin/bus is unused in the 'ICGx6p67DC_ASAP7_75t_R' cell.
 (LIBG-37)
Warning: Line 18018, Cell 'ICGx6p67DC_ASAP7_75t_R', Internal pin 'IQ' is dangling. The pin is removed. (LIBG-230)
Error: Line 18977, Cell 'ICGx8DC_ASAP7_75t_R', In the 'ICGx8DC_ASAP7_75t_R' cell, the 'IQ' noninput pin 
    cannot be used in the function. (LIBG-4)
Warning: Line 19304, Cell 'ICGx8DC_ASAP7_75t_R', The 'ENA' pin/bus is unused in the 'ICGx8DC_ASAP7_75t_R' cell.
 (LIBG-37)
Warning: Line 19540, Cell 'ICGx8DC_ASAP7_75t_R', The 'SE' pin/bus is unused in the 'ICGx8DC_ASAP7_75t_R' cell.
 (LIBG-37)
Warning: Line 18967, Cell 'ICGx8DC_ASAP7_75t_R', Internal pin 'IQ' is dangling. The pin is removed. (LIBG-230)
Warning: Line 19777, Cell 'SDFHx1_ASAP7_75t_R', The 'SDFHx1_ASAP7_75t_R' cell is missing the 'nextstate_type' attribute
    for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243)
Warning: Line 22046, Cell 'SDFHx2_ASAP7_75t_R', The 'SDFHx2_ASAP7_75t_R' cell is missing the 'nextstate_type' attribute
    for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243)
Warning: Line 24315, Cell 'SDFHx3_ASAP7_75t_R', The 'SDFHx3_ASAP7_75t_R' cell is missing the 'nextstate_type' attribute
    for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243)
Warning: Line 26584, Cell 'SDFHx4_ASAP7_75t_R', The 'SDFHx4_ASAP7_75t_R' cell is missing the 'nextstate_type' attribute
    for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243)
Warning: Line 28853, Cell 'SDFLx1_ASAP7_75t_R', The 'SDFLx1_ASAP7_75t_R' cell is missing the 'nextstate_type' attribute
    for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243)
Warning: Line 31122, Cell 'SDFLx2_ASAP7_75t_R', The 'SDFLx2_ASAP7_75t_R' cell is missing the 'nextstate_type' attribute
    for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243)
Warning: Line 33391, Cell 'SDFLx3_ASAP7_75t_R', The 'SDFLx3_ASAP7_75t_R' cell is missing the 'nextstate_type' attribute
    for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243)
Warning: Line 35660, Cell 'SDFLx4_ASAP7_75t_R', The 'SDFLx4_ASAP7_75t_R' cell is missing the 'nextstate_type' attribute
    for some input pin(s) specified in 'next_state' of its ff/ff_bank group. (LIBG-243)
0
maliberty commented 3 years ago

@tspyrou who handles asap7 .lib issues?

vvashish commented 3 years ago

We are aware of issues with the timing information for the integrated clock-gater (ICG) cells and asynchronous flip-flop. We were not aware of any issues related to SDFL and SDFH cells, but we will take a look. Thank you for bringing up these issues. We will try to address them in a subsequent release. Since we are not using any Synopsys tools, if the issues that you see persist despite our having fixed what we believe are problems in the ICG and ASYNC FF characterization, we will be unable to help. I hope you understand.

efferto commented 3 years ago

Dear @vvashish,

thanks for your reply. I recall that in the asap7PDK_r1p5 there were no issues in converting .lib in .db with Synopsys. I hope this could help. Without the possibility to use sequential blocks restrict the usage to only combinational circuits...

vvashish commented 3 years ago

Dear @efferto,

Thank you for your helpful feedback. We will compare the changes that we made to the characterization flow.

efferto commented 3 years ago

Thanks @vvashish, having this fix on the sequential blocks would really useful (if something comes out from the diff). I can do the test on synopsys if needed.

pkusw commented 1 year ago

Dear @vvashish,

thanks for your reply. I recall that in the asap7PDK_r1p5 there were no issues in converting .lib in .db with Synopsys. I hope this could help. Without the possibility to use sequential blocks restrict the usage to only combinational circuits...

pkusw commented 1 year ago

Dear @vvashish,

thanks for your reply. I recall that in the asap7PDK_r1p5 there were no issues in converting .lib in .db with Synopsys. I hope this could help. Without the possibility to use sequential blocks restrict the usage to only combinational circuits...

there is no .lib in asap7PDK_r1p7. Another problem is that we can't compile the .db successfully.