UCLA-VAST / AutoSA

AutoSA: Polyhedral-Based Systolic Array Compiler
MIT License
191 stars 31 forks source link

C-sim errors on Depth-wise Convolution #13

Open lloo099 opened 2 years ago

lloo099 commented 2 years ago

Hi, there are some unsatisfied errors happened when I complied ur DWC kernel following this link : https://autosa.readthedocs.io/en/latest/examples/dnn_ops.html

Vivado 2020.1 (Used)


Results show like:
`****** Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC v2020.1 (64-bit)
  **** SW Build 2902540 on Wed May 27 19:54:35 MDT 2020
  **** IP Build 2902112 on Wed May 27 22:43:36 MDT 2020
    ** Copyright 1986-2020 Xilinx, Inc. All Rights Reserved.

source /tools/Xilinx/Vivado/2020.1/scripts/vivado_hls/hls.tcl -notrace
INFO: [HLS 200-10] Running '/tools/Xilinx/Vivado/2020.1/bin/unwrapped/lnx64.o/vivado_hls'
INFO: [HLS 200-10] For user 'enai' on host 'enai-System-Product-Name' (Linux_x86_64 version 5.4.0-94-generic) on Mon Feb 14 16:25:59 HKT 2022
INFO: [HLS 200-10] On os Ubuntu 18.04.6 LTS
INFO: [HLS 200-10] In directory '/home/enai/Desktop/project/reference_works/AutoSA/autosa.tmp/output'
Sourcing Tcl script 'hls_script.tcl'
INFO: [HLS 200-10] Creating and opening project '/home/enai/Desktop/project/reference_works/AutoSA/autosa.tmp/output/hls_prj'.
INFO: [HLS 200-10] Adding design file 'src/kernel_kernel.h' to the project
INFO: [HLS 200-10] Adding design file 'src/kernel_kernel.cpp' to the project
INFO: [HLS 200-10] Adding test bench file 'src/kernel_host.cpp' to the project
INFO: [HLS 200-10] Creating and opening solution '/home/enai/Desktop/project/reference_works/AutoSA/autosa.tmp/output/hls_prj/solution1'.
INFO: [HLS 200-10] Setting target device to 'xcu200-fsgd2104-2-e'
INFO: [SYN 201-201] Setting up clock 'default' with a period of 5ns.
INFO: [XFORM 203-1161] The maximum of name length is set into 50.
INFO: [SIM 211-2] *************** CSIM start ***************
INFO: [SIM 211-4] CSIM will launch GCC as the compiler.
   Compiling ../../../../src/kernel_host.cpp in debug mode
   Compiling ../../../../src/kernel_kernel.cpp in debug mode
   Generating csim.exe
WARNING: Hls::stream 'hls::stream<ap_uint<64>, 0>.2' contains leftover data, which may result in RTL simulation hanging.
(golden, hw)@(4, 0, 0): (144.000000, 0.000000)
(golden, hw)@(4, 0, 1): (144.000000, 0.000000)
(golden, hw)@(4, 0, 2): (144.000000, 0.000000)
(golden, hw)@(4, 0, 3): (144.000000, 0.000000)
(golden, hw)@(4, 0, 4): (144.000000, 0.000000)
(golden, hw)@(4, 0, 5): (144.000000, 0.000000)
(golden, hw)@(4, 0, 6): (144.000000, 0.000000)
(golden, hw)@(4, 0, 7): (144.000000, 0.000000)
(golden, hw)@(4, 1, 0): (144.000000, 0.000000)
(golden, hw)@(4, 1, 1): (144.000000, 0.000000)
(golden, hw)@(4, 1, 2): (144.000000, 0.000000)
(golden, hw)@(4, 1, 3): (144.000000, 0.000000)
(golden, hw)@(4, 1, 4): (144.000000, 0.000000)
(golden, hw)@(4, 1, 5): (144.000000, 0.000000)
(golden, hw)@(4, 1, 6): (144.000000, 0.000000)
(golden, hw)@(4, 1, 7): (144.000000, 0.000000)
(golden, hw)@(4, 2, 0): (144.000000, 0.000000)
(golden, hw)@(4, 2, 1): (144.000000, 0.000000)
(golden, hw)@(4, 2, 2): (144.000000, 0.000000)
(golden, hw)@(4, 2, 3): (144.000000, 0.000000)
(golden, hw)@(4, 2, 4): (144.000000, 0.000000)
(golden, hw)@(4, 2, 5): (144.000000, 0.000000)
(golden, hw)@(4, 2, 6): (144.000000, 0.000000)
(golden, hw)@(4, 2, 7): (144.000000, 0.000000)
(golden, hw)@(4, 3, 0): (144.000000, 0.000000)
(golden, hw)@(4, 3, 1): (144.000000, 0.000000)
(golden, hw)@(4, 3, 2): (144.000000, 0.000000)
(golden, hw)@(4, 3, 3): (144.000000, 0.000000)
(golden, hw)@(4, 3, 4): (144.000000, 0.000000)
(golden, hw)@(4, 3, 5): (144.000000, 0.000000)
(golden, hw)@(4, 3, 6): (144.000000, 0.000000)
(golden, hw)@(4, 3, 7): (144.000000, 0.000000)
(golden, hw)@(4, 4, 0): (144.000000, 0.000000)
(golden, hw)@(4, 4, 1): (144.000000, 0.000000)
(golden, hw)@(4, 4, 2): (144.000000, 0.000000)
(golden, hw)@(4, 4, 3): (144.000000, 0.000000)
(golden, hw)@(4, 4, 4): (144.000000, 0.000000)
(golden, hw)@(4, 4, 5): (144.000000, 0.000000)
(golden, hw)@(4, 4, 6): (144.000000, 0.000000)
(golden, hw)@(4, 4, 7): (144.000000, 0.000000)
(golden, hw)@(4, 5, 0): (144.000000, 0.000000)
(golden, hw)@(4, 5, 1): (144.000000, 0.000000)
(golden, hw)@(4, 5, 2): (144.000000, 0.000000)
(golden, hw)@(4, 5, 3): (144.000000, 0.000000)
(golden, hw)@(4, 5, 4): (144.000000, 0.000000)
(golden, hw)@(4, 5, 5): (144.000000, 0.000000)
(golden, hw)@(4, 5, 6): (144.000000, 0.000000)
(golden, hw)@(4, 5, 7): (144.000000, 0.000000)
(golden, hw)@(4, 6, 0): (144.000000, 0.000000)
(golden, hw)@(4, 6, 1): (144.000000, 0.000000)
(golden, hw)@(4, 6, 2): (144.000000, 0.000000)
(golden, hw)@(4, 6, 3): (144.000000, 0.000000)
(golden, hw)@(4, 6, 4): (144.000000, 0.000000)
(golden, hw)@(4, 6, 5): (144.000000, 0.000000)
(golden, hw)@(4, 6, 6): (144.000000, 0.000000)
(golden, hw)@(4, 6, 7): (144.000000, 0.000000)
(golden, hw)@(4, 7, 0): (144.000000, 0.000000)
(golden, hw)@(4, 7, 1): (144.000000, 0.000000)
(golden, hw)@(4, 7, 2): (144.000000, 0.000000)
(golden, hw)@(4, 7, 3): (144.000000, 0.000000)
(golden, hw)@(4, 7, 4): (144.000000, 0.000000)
(golden, hw)@(4, 7, 5): (144.000000, 0.000000)
(golden, hw)@(4, 7, 6): (144.000000, 0.000000)
(golden, hw)@(4, 7, 7): (144.000000, 0.000000)
(golden, hw)@(5, 0, 0): (225.000000, 45.000000)
(golden, hw)@(5, 0, 1): (225.000000, 45.000000)
(golden, hw)@(5, 0, 2): (225.000000, 45.000000)
(golden, hw)@(5, 0, 3): (225.000000, 45.000000)
(golden, hw)@(5, 0, 4): (225.000000, 45.000000)
(golden, hw)@(5, 0, 5): (225.000000, 45.000000)
(golden, hw)@(5, 0, 6): (225.000000, 45.000000)
(golden, hw)@(5, 0, 7): (225.000000, 45.000000)
(golden, hw)@(5, 1, 0): (225.000000, 45.000000)
(golden, hw)@(5, 1, 1): (225.000000, 45.000000)
(golden, hw)@(5, 1, 2): (225.000000, 45.000000)
(golden, hw)@(5, 1, 3): (225.000000, 45.000000)
(golden, hw)@(5, 1, 4): (225.000000, 45.000000)
(golden, hw)@(5, 1, 5): (225.000000, 45.000000)
(golden, hw)@(5, 1, 6): (225.000000, 45.000000)
(golden, hw)@(5, 1, 7): (225.000000, 45.000000)
(golden, hw)@(5, 2, 0): (225.000000, 45.000000)
(golden, hw)@(5, 2, 1): (225.000000, 45.000000)
(golden, hw)@(5, 2, 2): (225.000000, 45.000000)
(golden, hw)@(5, 2, 3): (225.000000, 45.000000)
(golden, hw)@(5, 2, 4): (225.000000, 45.000000)
(golden, hw)@(5, 2, 5): (225.000000, 45.000000)
(golden, hw)@(5, 2, 6): (225.000000, 45.000000)
(golden, hw)@(5, 2, 7): (225.000000, 45.000000)
(golden, hw)@(5, 3, 0): (225.000000, 45.000000)
(golden, hw)@(5, 3, 1): (225.000000, 45.000000)
(golden, hw)@(5, 3, 2): (225.000000, 45.000000)
(golden, hw)@(5, 3, 3): (225.000000, 45.000000)
(golden, hw)@(5, 3, 4): (225.000000, 45.000000)
(golden, hw)@(5, 3, 5): (225.000000, 45.000000)
(golden, hw)@(5, 3, 6): (225.000000, 45.000000)
(golden, hw)@(5, 3, 7): (225.000000, 45.000000)
(golden, hw)@(5, 4, 0): (225.000000, 45.000000)
(golden, hw)@(5, 4, 1): (225.000000, 45.000000)
(golden, hw)@(5, 4, 2): (225.000000, 45.000000)
(golden, hw)@(5, 4, 3): (225.000000, 45.000000)
(golden, hw)@(5, 4, 4): (225.000000, 45.000000)
(golden, hw)@(5, 4, 5): (225.000000, 45.000000)
(golden, hw)@(5, 4, 6): (225.000000, 45.000000)
(golden, hw)@(5, 4, 7): (225.000000, 45.000000)
(golden, hw)@(5, 5, 0): (225.000000, 45.000000)
(golden, hw)@(5, 5, 1): (225.000000, 45.000000)
(golden, hw)@(5, 5, 2): (225.000000, 45.000000)
(golden, hw)@(5, 5, 3): (225.000000, 45.000000)
(golden, hw)@(5, 5, 4): (225.000000, 45.000000)
(golden, hw)@(5, 5, 5): (225.000000, 45.000000)
(golden, hw)@(5, 5, 6): (225.000000, 45.000000)
(golden, hw)@(5, 5, 7): (225.000000, 45.000000)
(golden, hw)@(5, 6, 0): (225.000000, 45.000000)
(golden, hw)@(5, 6, 1): (225.000000, 45.000000)
(golden, hw)@(5, 6, 2): (225.000000, 45.000000)
(golden, hw)@(5, 6, 3): (225.000000, 45.000000)
(golden, hw)@(5, 6, 4): (225.000000, 45.000000)
(golden, hw)@(5, 6, 5): (225.000000, 45.000000)
(golden, hw)@(5, 6, 6): (225.000000, 45.000000)
(golden, hw)@(5, 6, 7): (225.000000, 45.000000)
(golden, hw)@(5, 7, 0): (225.000000, 45.000000)
(golden, hw)@(5, 7, 1): (225.000000, 45.000000)
(golden, hw)@(5, 7, 2): (225.000000, 45.000000)
(golden, hw)@(5, 7, 3): (225.000000, 45.000000)
(golden, hw)@(5, 7, 4): (225.000000, 45.000000)
(golden, hw)@(5, 7, 5): (225.000000, 45.000000)
(golden, hw)@(5, 7, 6): (225.000000, 45.000000)
(golden, hw)@(5, 7, 7): (225.000000, 45.000000)
(golden, hw)@(6, 0, 0): (324.000000, 108.000000)
(golden, hw)@(6, 0, 1): (324.000000, 108.000000)
(golden, hw)@(6, 0, 2): (324.000000, 108.000000)
(golden, hw)@(6, 0, 3): (324.000000, 108.000000)
(golden, hw)@(6, 0, 4): (324.000000, 108.000000)
(golden, hw)@(6, 0, 5): (324.000000, 108.000000)
(golden, hw)@(6, 0, 6): (324.000000, 108.000000)
(golden, hw)@(6, 0, 7): (324.000000, 108.000000)
(golden, hw)@(6, 1, 0): (324.000000, 108.000000)
(golden, hw)@(6, 1, 1): (324.000000, 108.000000)
(golden, hw)@(6, 1, 2): (324.000000, 108.000000)
(golden, hw)@(6, 1, 3): (324.000000, 108.000000)
(golden, hw)@(6, 1, 4): (324.000000, 108.000000)
(golden, hw)@(6, 1, 5): (324.000000, 108.000000)
(golden, hw)@(6, 1, 6): (324.000000, 108.000000)
(golden, hw)@(6, 1, 7): (324.000000, 108.000000)
(golden, hw)@(6, 2, 0): (324.000000, 108.000000)
(golden, hw)@(6, 2, 1): (324.000000, 108.000000)
(golden, hw)@(6, 2, 2): (324.000000, 108.000000)
(golden, hw)@(6, 2, 3): (324.000000, 108.000000)
(golden, hw)@(6, 2, 4): (324.000000, 108.000000)
(golden, hw)@(6, 2, 5): (324.000000, 108.000000)
(golden, hw)@(6, 2, 6): (324.000000, 108.000000)
(golden, hw)@(6, 2, 7): (324.000000, 108.000000)
(golden, hw)@(6, 3, 0): (324.000000, 108.000000)
(golden, hw)@(6, 3, 1): (324.000000, 108.000000)
(golden, hw)@(6, 3, 2): (324.000000, 108.000000)
(golden, hw)@(6, 3, 3): (324.000000, 108.000000)
(golden, hw)@(6, 3, 4): (324.000000, 108.000000)
(golden, hw)@(6, 3, 5): (324.000000, 108.000000)
(golden, hw)@(6, 3, 6): (324.000000, 108.000000)
(golden, hw)@(6, 3, 7): (324.000000, 108.000000)
(golden, hw)@(6, 4, 0): (324.000000, 108.000000)
(golden, hw)@(6, 4, 1): (324.000000, 108.000000)
(golden, hw)@(6, 4, 2): (324.000000, 108.000000)
(golden, hw)@(6, 4, 3): (324.000000, 108.000000)
(golden, hw)@(6, 4, 4): (324.000000, 108.000000)
(golden, hw)@(6, 4, 5): (324.000000, 108.000000)
(golden, hw)@(6, 4, 6): (324.000000, 108.000000)
(golden, hw)@(6, 4, 7): (324.000000, 108.000000)
(golden, hw)@(6, 5, 0): (324.000000, 108.000000)
(golden, hw)@(6, 5, 1): (324.000000, 108.000000)
(golden, hw)@(6, 5, 2): (324.000000, 108.000000)
(golden, hw)@(6, 5, 3): (324.000000, 108.000000)
(golden, hw)@(6, 5, 4): (324.000000, 108.000000)
(golden, hw)@(6, 5, 5): (324.000000, 108.000000)
(golden, hw)@(6, 5, 6): (324.000000, 108.000000)
(golden, hw)@(6, 5, 7): (324.000000, 108.000000)
(golden, hw)@(6, 6, 0): (324.000000, 108.000000)
(golden, hw)@(6, 6, 1): (324.000000, 108.000000)
(golden, hw)@(6, 6, 2): (324.000000, 108.000000)
(golden, hw)@(6, 6, 3): (324.000000, 108.000000)
(golden, hw)@(6, 6, 4): (324.000000, 108.000000)
(golden, hw)@(6, 6, 5): (324.000000, 108.000000)
(golden, hw)@(6, 6, 6): (324.000000, 108.000000)
(golden, hw)@(6, 6, 7): (324.000000, 108.000000)
(golden, hw)@(6, 7, 0): (324.000000, 108.000000)
(golden, hw)@(6, 7, 1): (324.000000, 108.000000)
(golden, hw)@(6, 7, 2): (324.000000, 108.000000)
(golden, hw)@(6, 7, 3): (324.000000, 108.000000)
(golden, hw)@(6, 7, 4): (324.000000, 108.000000)
(golden, hw)@(6, 7, 5): (324.000000, 108.000000)
(golden, hw)@(6, 7, 6): (324.000000, 108.000000)
(golden, hw)@(6, 7, 7): (324.000000, 108.000000)
(golden, hw)@(7, 0, 0): (441.000000, 189.000000)
(golden, hw)@(7, 0, 1): (441.000000, 189.000000)
(golden, hw)@(7, 0, 2): (441.000000, 189.000000)
(golden, hw)@(7, 0, 3): (441.000000, 189.000000)
(golden, hw)@(7, 0, 4): (441.000000, 189.000000)
(golden, hw)@(7, 0, 5): (441.000000, 189.000000)
(golden, hw)@(7, 0, 6): (441.000000, 189.000000)
(golden, hw)@(7, 0, 7): (441.000000, 189.000000)
(golden, hw)@(7, 1, 0): (441.000000, 189.000000)
(golden, hw)@(7, 1, 1): (441.000000, 189.000000)
(golden, hw)@(7, 1, 2): (441.000000, 189.000000)
(golden, hw)@(7, 1, 3): (441.000000, 189.000000)
(golden, hw)@(7, 1, 4): (441.000000, 189.000000)
(golden, hw)@(7, 1, 5): (441.000000, 189.000000)
(golden, hw)@(7, 1, 6): (441.000000, 189.000000)
(golden, hw)@(7, 1, 7): (441.000000, 189.000000)
(golden, hw)@(7, 2, 0): (441.000000, 189.000000)
(golden, hw)@(7, 2, 1): (441.000000, 189.000000)
(golden, hw)@(7, 2, 2): (441.000000, 189.000000)
(golden, hw)@(7, 2, 3): (441.000000, 189.000000)
(golden, hw)@(7, 2, 4): (441.000000, 189.000000)
(golden, hw)@(7, 2, 5): (441.000000, 189.000000)
(golden, hw)@(7, 2, 6): (441.000000, 189.000000)
(golden, hw)@(7, 2, 7): (441.000000, 189.000000)
(golden, hw)@(7, 3, 0): (441.000000, 189.000000)
(golden, hw)@(7, 3, 1): (441.000000, 189.000000)
(golden, hw)@(7, 3, 2): (441.000000, 189.000000)
(golden, hw)@(7, 3, 3): (441.000000, 189.000000)
(golden, hw)@(7, 3, 4): (441.000000, 189.000000)
(golden, hw)@(7, 3, 5): (441.000000, 189.000000)
(golden, hw)@(7, 3, 6): (441.000000, 189.000000)
(golden, hw)@(7, 3, 7): (441.000000, 189.000000)
(golden, hw)@(7, 4, 0): (441.000000, 189.000000)
(golden, hw)@(7, 4, 1): (441.000000, 189.000000)
(golden, hw)@(7, 4, 2): (441.000000, 189.000000)
(golden, hw)@(7, 4, 3): (441.000000, 189.000000)
(golden, hw)@(7, 4, 4): (441.000000, 189.000000)
(golden, hw)@(7, 4, 5): (441.000000, 189.000000)
(golden, hw)@(7, 4, 6): (441.000000, 189.000000)
(golden, hw)@(7, 4, 7): (441.000000, 189.000000)
(golden, hw)@(7, 5, 0): (441.000000, 189.000000)
(golden, hw)@(7, 5, 1): (441.000000, 189.000000)
(golden, hw)@(7, 5, 2): (441.000000, 189.000000)
(golden, hw)@(7, 5, 3): (441.000000, 189.000000)
(golden, hw)@(7, 5, 4): (441.000000, 189.000000)
(golden, hw)@(7, 5, 5): (441.000000, 189.000000)
(golden, hw)@(7, 5, 6): (441.000000, 189.000000)
(golden, hw)@(7, 5, 7): (441.000000, 189.000000)
(golden, hw)@(7, 6, 0): (441.000000, 189.000000)
(golden, hw)@(7, 6, 1): (441.000000, 189.000000)
(golden, hw)@(7, 6, 2): (441.000000, 189.000000)
(golden, hw)@(7, 6, 3): (441.000000, 189.000000)
(golden, hw)@(7, 6, 4): (441.000000, 189.000000)
(golden, hw)@(7, 6, 5): (441.000000, 189.000000)
(golden, hw)@(7, 6, 6): (441.000000, 189.000000)
(golden, hw)@(7, 6, 7): (441.000000, 189.000000)
(golden, hw)@(7, 7, 0): (441.000000, 189.000000)
(golden, hw)@(7, 7, 1): (441.000000, 189.000000)
(golden, hw)@(7, 7, 2): (441.000000, 189.000000)
(golden, hw)@(7, 7, 3): (441.000000, 189.000000)
(golden, hw)@(7, 7, 4): (441.000000, 189.000000)
(golden, hw)@(7, 7, 5): (441.000000, 189.000000)
(golden, hw)@(7, 7, 6): (441.000000, 189.000000)
(golden, hw)@(7, 7, 7): (441.000000, 189.000000)
(golden, hw)@(8, 0, 0): (576.000000, 0.000000)
(golden, hw)@(8, 0, 1): (576.000000, 0.000000)
(golden, hw)@(8, 0, 2): (576.000000, 0.000000)
(golden, hw)@(8, 0, 3): (576.000000, 0.000000)
(golden, hw)@(8, 0, 4): (576.000000, 0.000000)
(golden, hw)@(8, 0, 5): (576.000000, 0.000000)
(golden, hw)@(8, 0, 6): (576.000000, 0.000000)
(golden, hw)@(8, 0, 7): (576.000000, 0.000000)
(golden, hw)@(8, 1, 0): (576.000000, 0.000000)
(golden, hw)@(8, 1, 1): (576.000000, 0.000000)
(golden, hw)@(8, 1, 2): (576.000000, 0.000000)
(golden, hw)@(8, 1, 3): (576.000000, 0.000000)
(golden, hw)@(8, 1, 4): (576.000000, 0.000000)
(golden, hw)@(8, 1, 5): (576.000000, 0.000000)
(golden, hw)@(8, 1, 6): (576.000000, 0.000000)
(golden, hw)@(8, 1, 7): (576.000000, 0.000000)
(golden, hw)@(8, 2, 0): (576.000000, 0.000000)
(golden, hw)@(8, 2, 1): (576.000000, 0.000000)
(golden, hw)@(8, 2, 2): (576.000000, 0.000000)
(golden, hw)@(8, 2, 3): (576.000000, 0.000000)
(golden, hw)@(8, 2, 4): (576.000000, 0.000000)
(golden, hw)@(8, 2, 5): (576.000000, 0.000000)
(golden, hw)@(8, 2, 6): (576.000000, 0.000000)
(golden, hw)@(8, 2, 7): (576.000000, 0.000000)
(golden, hw)@(8, 3, 0): (576.000000, 0.000000)
(golden, hw)@(8, 3, 1): (576.000000, 0.000000)
(golden, hw)@(8, 3, 2): (576.000000, 0.000000)
(golden, hw)@(8, 3, 3): (576.000000, 0.000000)
(golden, hw)@(8, 3, 4): (576.000000, 0.000000)
(golden, hw)@(8, 3, 5): (576.000000, 0.000000)
(golden, hw)@(8, 3, 6): (576.000000, 0.000000)
(golden, hw)@(8, 3, 7): (576.000000, 0.000000)
(golden, hw)@(8, 4, 0): (576.000000, 0.000000)
(golden, hw)@(8, 4, 1): (576.000000, 0.000000)
(golden, hw)@(8, 4, 2): (576.000000, 0.000000)
(golden, hw)@(8, 4, 3): (576.000000, 0.000000)
(golden, hw)@(8, 4, 4): (576.000000, 0.000000)
(golden, hw)@(8, 4, 5): (576.000000, 0.000000)
(golden, hw)@(8, 4, 6): (576.000000, 0.000000)
(golden, hw)@(8, 4, 7): (576.000000, 0.000000)
(golden, hw)@(8, 5, 0): (576.000000, 0.000000)
(golden, hw)@(8, 5, 1): (576.000000, 0.000000)
(golden, hw)@(8, 5, 2): (576.000000, 0.000000)
(golden, hw)@(8, 5, 3): (576.000000, 0.000000)
(golden, hw)@(8, 5, 4): (576.000000, 0.000000)
(golden, hw)@(8, 5, 5): (576.000000, 0.000000)
(golden, hw)@(8, 5, 6): (576.000000, 0.000000)
(golden, hw)@(8, 5, 7): (576.000000, 0.000000)
(golden, hw)@(8, 6, 0): (576.000000, 0.000000)
(golden, hw)@(8, 6, 1): (576.000000, 0.000000)
(golden, hw)@(8, 6, 2): (576.000000, 0.000000)
(golden, hw)@(8, 6, 3): (576.000000, 0.000000)
(golden, hw)@(8, 6, 4): (576.000000, 0.000000)
(golden, hw)@(8, 6, 5): (576.000000, 0.000000)
(golden, hw)@(8, 6, 6): (576.000000, 0.000000)
(golden, hw)@(8, 6, 7): (576.000000, 0.000000)
(golden, hw)@(8, 7, 0): (576.000000, 0.000000)
(golden, hw)@(8, 7, 1): (576.000000, 0.000000)
(golden, hw)@(8, 7, 2): (576.000000, 0.000000)
(golden, hw)@(8, 7, 3): (576.000000, 0.000000)
(golden, hw)@(8, 7, 4): (576.000000, 0.000000)
(golden, hw)@(8, 7, 5): (576.000000, 0.000000)
(golden, hw)@(8, 7, 6): (576.000000, 0.000000)
(golden, hw)@(8, 7, 7): (576.000000, 0.000000)
(golden, hw)@(9, 0, 0): (729.000000, 81.000000)
(golden, hw)@(9, 0, 1): (729.000000, 81.000000)
(golden, hw)@(9, 0, 2): (729.000000, 81.000000)
(golden, hw)@(9, 0, 3): (729.000000, 81.000000)
(golden, hw)@(9, 0, 4): (729.000000, 81.000000)
(golden, hw)@(9, 0, 5): (729.000000, 81.000000)
(golden, hw)@(9, 0, 6): (729.000000, 81.000000)
(golden, hw)@(9, 0, 7): (729.000000, 81.000000)
(golden, hw)@(9, 1, 0): (729.000000, 81.000000)
(golden, hw)@(9, 1, 1): (729.000000, 81.000000)
(golden, hw)@(9, 1, 2): (729.000000, 81.000000)
(golden, hw)@(9, 1, 3): (729.000000, 81.000000)
(golden, hw)@(9, 1, 4): (729.000000, 81.000000)
(golden, hw)@(9, 1, 5): (729.000000, 81.000000)
(golden, hw)@(9, 1, 6): (729.000000, 81.000000)
(golden, hw)@(9, 1, 7): (729.000000, 81.000000)
(golden, hw)@(9, 2, 0): (729.000000, 81.000000)
(golden, hw)@(9, 2, 1): (729.000000, 81.000000)
(golden, hw)@(9, 2, 2): (729.000000, 81.000000)
(golden, hw)@(9, 2, 3): (729.000000, 81.000000)
(golden, hw)@(9, 2, 4): (729.000000, 81.000000)
(golden, hw)@(9, 2, 5): (729.000000, 81.000000)
(golden, hw)@(9, 2, 6): (729.000000, 81.000000)
(golden, hw)@(9, 2, 7): (729.000000, 81.000000)
(golden, hw)@(9, 3, 0): (729.000000, 81.000000)
(golden, hw)@(9, 3, 1): (729.000000, 81.000000)
(golden, hw)@(9, 3, 2): (729.000000, 81.000000)
(golden, hw)@(9, 3, 3): (729.000000, 81.000000)
(golden, hw)@(9, 3, 4): (729.000000, 81.000000)
(golden, hw)@(9, 3, 5): (729.000000, 81.000000)
(golden, hw)@(9, 3, 6): (729.000000, 81.000000)
(golden, hw)@(9, 3, 7): (729.000000, 81.000000)
(golden, hw)@(9, 4, 0): (729.000000, 81.000000)
(golden, hw)@(9, 4, 1): (729.000000, 81.000000)
(golden, hw)@(9, 4, 2): (729.000000, 81.000000)
(golden, hw)@(9, 4, 3): (729.000000, 81.000000)
(golden, hw)@(9, 4, 4): (729.000000, 81.000000)
(golden, hw)@(9, 4, 5): (729.000000, 81.000000)
(golden, hw)@(9, 4, 6): (729.000000, 81.000000)
(golden, hw)@(9, 4, 7): (729.000000, 81.000000)
(golden, hw)@(9, 5, 0): (729.000000, 81.000000)
(golden, hw)@(9, 5, 1): (729.000000, 81.000000)
(golden, hw)@(9, 5, 2): (729.000000, 81.000000)
(golden, hw)@(9, 5, 3): (729.000000, 81.000000)
(golden, hw)@(9, 5, 4): (729.000000, 81.000000)
(golden, hw)@(9, 5, 5): (729.000000, 81.000000)
(golden, hw)@(9, 5, 6): (729.000000, 81.000000)
(golden, hw)@(9, 5, 7): (729.000000, 81.000000)
(golden, hw)@(9, 6, 0): (729.000000, 81.000000)
(golden, hw)@(9, 6, 1): (729.000000, 81.000000)
(golden, hw)@(9, 6, 2): (729.000000, 81.000000)
(golden, hw)@(9, 6, 3): (729.000000, 81.000000)
(golden, hw)@(9, 6, 4): (729.000000, 81.000000)
(golden, hw)@(9, 6, 5): (729.000000, 81.000000)
(golden, hw)@(9, 6, 6): (729.000000, 81.000000)
(golden, hw)@(9, 6, 7): (729.000000, 81.000000)
(golden, hw)@(9, 7, 0): (729.000000, 81.000000)
(golden, hw)@(9, 7, 1): (729.000000, 81.000000)
(golden, hw)@(9, 7, 2): (729.000000, 81.000000)
(golden, hw)@(9, 7, 3): (729.000000, 81.000000)
(golden, hw)@(9, 7, 4): (729.000000, 81.000000)
(golden, hw)@(9, 7, 5): (729.000000, 81.000000)
(golden, hw)@(9, 7, 6): (729.000000, 81.000000)
(golden, hw)@(9, 7, 7): (729.000000, 81.000000)
(golden, hw)@(10, 0, 0): (900.000000, 180.000000)
(golden, hw)@(10, 0, 1): (900.000000, 180.000000)
(golden, hw)@(10, 0, 2): (900.000000, 180.000000)
(golden, hw)@(10, 0, 3): (900.000000, 180.000000)
(golden, hw)@(10, 0, 4): (900.000000, 180.000000)
(golden, hw)@(10, 0, 5): (900.000000, 180.000000)
(golden, hw)@(10, 0, 6): (900.000000, 180.000000)
(golden, hw)@(10, 0, 7): (900.000000, 180.000000)
(golden, hw)@(10, 1, 0): (900.000000, 180.000000)
(golden, hw)@(10, 1, 1): (900.000000, 180.000000)
(golden, hw)@(10, 1, 2): (900.000000, 180.000000)
(golden, hw)@(10, 1, 3): (900.000000, 180.000000)
(golden, hw)@(10, 1, 4): (900.000000, 180.000000)
(golden, hw)@(10, 1, 5): (900.000000, 180.000000)
(golden, hw)@(10, 1, 6): (900.000000, 180.000000)
(golden, hw)@(10, 1, 7): (900.000000, 180.000000)
(golden, hw)@(10, 2, 0): (900.000000, 180.000000)
(golden, hw)@(10, 2, 1): (900.000000, 180.000000)
(golden, hw)@(10, 2, 2): (900.000000, 180.000000)
(golden, hw)@(10, 2, 3): (900.000000, 180.000000)
(golden, hw)@(10, 2, 4): (900.000000, 180.000000)
(golden, hw)@(10, 2, 5): (900.000000, 180.000000)
(golden, hw)@(10, 2, 6): (900.000000, 180.000000)
(golden, hw)@(10, 2, 7): (900.000000, 180.000000)
(golden, hw)@(10, 3, 0): (900.000000, 180.000000)
(golden, hw)@(10, 3, 1): (900.000000, 180.000000)
(golden, hw)@(10, 3, 2): (900.000000, 180.000000)
(golden, hw)@(10, 3, 3): (900.000000, 180.000000)
(golden, hw)@(10, 3, 4): (900.000000, 180.000000)
(golden, hw)@(10, 3, 5): (900.000000, 180.000000)
(golden, hw)@(10, 3, 6): (900.000000, 180.000000)
(golden, hw)@(10, 3, 7): (900.000000, 180.000000)
(golden, hw)@(10, 4, 0): (900.000000, 180.000000)
(golden, hw)@(10, 4, 1): (900.000000, 180.000000)
(golden, hw)@(10, 4, 2): (900.000000, 180.000000)
(golden, hw)@(10, 4, 3): (900.000000, 180.000000)
(golden, hw)@(10, 4, 4): (900.000000, 180.000000)
(golden, hw)@(10, 4, 5): (900.000000, 180.000000)
(golden, hw)@(10, 4, 6): (900.000000, 180.000000)
(golden, hw)@(10, 4, 7): (900.000000, 180.000000)
(golden, hw)@(10, 5, 0): (900.000000, 180.000000)
(golden, hw)@(10, 5, 1): (900.000000, 180.000000)
(golden, hw)@(10, 5, 2): (900.000000, 180.000000)
(golden, hw)@(10, 5, 3): (900.000000, 180.000000)
(golden, hw)@(10, 5, 4): (900.000000, 180.000000)
(golden, hw)@(10, 5, 5): (900.000000, 180.000000)
(golden, hw)@(10, 5, 6): (900.000000, 180.000000)
(golden, hw)@(10, 5, 7): (900.000000, 180.000000)
(golden, hw)@(10, 6, 0): (900.000000, 180.000000)
(golden, hw)@(10, 6, 1): (900.000000, 180.000000)
(golden, hw)@(10, 6, 2): (900.000000, 180.000000)
(golden, hw)@(10, 6, 3): (900.000000, 180.000000)
(golden, hw)@(10, 6, 4): (900.000000, 180.000000)
(golden, hw)@(10, 6, 5): (900.000000, 180.000000)
(golden, hw)@(10, 6, 6): (900.000000, 180.000000)
(golden, hw)@(10, 6, 7): (900.000000, 180.000000)
(golden, hw)@(10, 7, 0): (900.000000, 180.000000)
(golden, hw)@(10, 7, 1): (900.000000, 180.000000)
(golden, hw)@(10, 7, 2): (900.000000, 180.000000)
(golden, hw)@(10, 7, 3): (900.000000, 180.000000)
(golden, hw)@(10, 7, 4): (900.000000, 180.000000)
(golden, hw)@(10, 7, 5): (900.000000, 180.000000)
(golden, hw)@(10, 7, 6): (900.000000, 180.000000)
(golden, hw)@(10, 7, 7): (900.000000, 180.000000)
(golden, hw)@(11, 0, 0): (1089.000000, 297.000000)
(golden, hw)@(11, 0, 1): (1089.000000, 297.000000)
(golden, hw)@(11, 0, 2): (1089.000000, 297.000000)
(golden, hw)@(11, 0, 3): (1089.000000, 297.000000)
(golden, hw)@(11, 0, 4): (1089.000000, 297.000000)
(golden, hw)@(11, 0, 5): (1089.000000, 297.000000)
(golden, hw)@(11, 0, 6): (1089.000000, 297.000000)
(golden, hw)@(11, 0, 7): (1089.000000, 297.000000)
(golden, hw)@(11, 1, 0): (1089.000000, 297.000000)
(golden, hw)@(11, 1, 1): (1089.000000, 297.000000)
(golden, hw)@(11, 1, 2): (1089.000000, 297.000000)
(golden, hw)@(11, 1, 3): (1089.000000, 297.000000)
(golden, hw)@(11, 1, 4): (1089.000000, 297.000000)
(golden, hw)@(11, 1, 5): (1089.000000, 297.000000)
(golden, hw)@(11, 1, 6): (1089.000000, 297.000000)
(golden, hw)@(11, 1, 7): (1089.000000, 297.000000)
(golden, hw)@(11, 2, 0): (1089.000000, 297.000000)
(golden, hw)@(11, 2, 1): (1089.000000, 297.000000)
(golden, hw)@(11, 2, 2): (1089.000000, 297.000000)
(golden, hw)@(11, 2, 3): (1089.000000, 297.000000)
(golden, hw)@(11, 2, 4): (1089.000000, 297.000000)
(golden, hw)@(11, 2, 5): (1089.000000, 297.000000)
(golden, hw)@(11, 2, 6): (1089.000000, 297.000000)
(golden, hw)@(11, 2, 7): (1089.000000, 297.000000)
(golden, hw)@(11, 3, 0): (1089.000000, 297.000000)
(golden, hw)@(11, 3, 1): (1089.000000, 297.000000)
(golden, hw)@(11, 3, 2): (1089.000000, 297.000000)
(golden, hw)@(11, 3, 3): (1089.000000, 297.000000)
(golden, hw)@(11, 3, 4): (1089.000000, 297.000000)
(golden, hw)@(11, 3, 5): (1089.000000, 297.000000)
(golden, hw)@(11, 3, 6): (1089.000000, 297.000000)
(golden, hw)@(11, 3, 7): (1089.000000, 297.000000)
(golden, hw)@(11, 4, 0): (1089.000000, 297.000000)
(golden, hw)@(11, 4, 1): (1089.000000, 297.000000)
(golden, hw)@(11, 4, 2): (1089.000000, 297.000000)
(golden, hw)@(11, 4, 3): (1089.000000, 297.000000)
(golden, hw)@(11, 4, 4): (1089.000000, 297.000000)
(golden, hw)@(11, 4, 5): (1089.000000, 297.000000)
(golden, hw)@(11, 4, 6): (1089.000000, 297.000000)
(golden, hw)@(11, 4, 7): (1089.000000, 297.000000)
(golden, hw)@(11, 5, 0): (1089.000000, 297.000000)
(golden, hw)@(11, 5, 1): (1089.000000, 297.000000)
(golden, hw)@(11, 5, 2): (1089.000000, 297.000000)
(golden, hw)@(11, 5, 3): (1089.000000, 297.000000)
(golden, hw)@(11, 5, 4): (1089.000000, 297.000000)
(golden, hw)@(11, 5, 5): (1089.000000, 297.000000)
(golden, hw)@(11, 5, 6): (1089.000000, 297.000000)
(golden, hw)@(11, 5, 7): (1089.000000, 297.000000)
(golden, hw)@(11, 6, 0): (1089.000000, 297.000000)
(golden, hw)@(11, 6, 1): (1089.000000, 297.000000)
(golden, hw)@(11, 6, 2): (1089.000000, 297.000000)
(golden, hw)@(11, 6, 3): (1089.000000, 297.000000)
(golden, hw)@(11, 6, 4): (1089.000000, 297.000000)
(golden, hw)@(11, 6, 5): (1089.000000, 297.000000)
(golden, hw)@(11, 6, 6): (1089.000000, 297.000000)
(golden, hw)@(11, 6, 7): (1089.000000, 297.000000)
(golden, hw)@(11, 7, 0): (1089.000000, 297.000000)
(golden, hw)@(11, 7, 1): (1089.000000, 297.000000)
(golden, hw)@(11, 7, 2): (1089.000000, 297.000000)
(golden, hw)@(11, 7, 3): (1089.000000, 297.000000)
(golden, hw)@(11, 7, 4): (1089.000000, 297.000000)
(golden, hw)@(11, 7, 5): (1089.000000, 297.000000)
(golden, hw)@(11, 7, 6): (1089.000000, 297.000000)
(golden, hw)@(11, 7, 7): (1089.000000, 297.000000)
(golden, hw)@(12, 0, 0): (1296.000000, 0.000000)
(golden, hw)@(12, 0, 1): (1296.000000, 0.000000)
(golden, hw)@(12, 0, 2): (1296.000000, 0.000000)
(golden, hw)@(12, 0, 3): (1296.000000, 0.000000)
(golden, hw)@(12, 0, 4): (1296.000000, 0.000000)
(golden, hw)@(12, 0, 5): (1296.000000, 0.000000)
(golden, hw)@(12, 0, 6): (1296.000000, 0.000000)
(golden, hw)@(12, 0, 7): (1296.000000, 0.000000)
(golden, hw)@(12, 1, 0): (1296.000000, 0.000000)
(golden, hw)@(12, 1, 1): (1296.000000, 0.000000)
(golden, hw)@(12, 1, 2): (1296.000000, 0.000000)
(golden, hw)@(12, 1, 3): (1296.000000, 0.000000)
(golden, hw)@(12, 1, 4): (1296.000000, 0.000000)
(golden, hw)@(12, 1, 5): (1296.000000, 0.000000)
(golden, hw)@(12, 1, 6): (1296.000000, 0.000000)
(golden, hw)@(12, 1, 7): (1296.000000, 0.000000)
(golden, hw)@(12, 2, 0): (1296.000000, 0.000000)
(golden, hw)@(12, 2, 1): (1296.000000, 0.000000)
(golden, hw)@(12, 2, 2): (1296.000000, 0.000000)
(golden, hw)@(12, 2, 3): (1296.000000, 0.000000)
(golden, hw)@(12, 2, 4): (1296.000000, 0.000000)
(golden, hw)@(12, 2, 5): (1296.000000, 0.000000)
(golden, hw)@(12, 2, 6): (1296.000000, 0.000000)
(golden, hw)@(12, 2, 7): (1296.000000, 0.000000)
(golden, hw)@(12, 3, 0): (1296.000000, 0.000000)
(golden, hw)@(12, 3, 1): (1296.000000, 0.000000)
(golden, hw)@(12, 3, 2): (1296.000000, 0.000000)
(golden, hw)@(12, 3, 3): (1296.000000, 0.000000)
(golden, hw)@(12, 3, 4): (1296.000000, 0.000000)
(golden, hw)@(12, 3, 5): (1296.000000, 0.000000)
(golden, hw)@(12, 3, 6): (1296.000000, 0.000000)
(golden, hw)@(12, 3, 7): (1296.000000, 0.000000)
(golden, hw)@(12, 4, 0): (1296.000000, 0.000000)
(golden, hw)@(12, 4, 1): (1296.000000, 0.000000)
(golden, hw)@(12, 4, 2): (1296.000000, 0.000000)
(golden, hw)@(12, 4, 3): (1296.000000, 0.000000)
(golden, hw)@(12, 4, 4): (1296.000000, 0.000000)
(golden, hw)@(12, 4, 5): (1296.000000, 0.000000)
(golden, hw)@(12, 4, 6): (1296.000000, 0.000000)
(golden, hw)@(12, 4, 7): (1296.000000, 0.000000)
(golden, hw)@(12, 5, 0): (1296.000000, 0.000000)
(golden, hw)@(12, 5, 1): (1296.000000, 0.000000)
(golden, hw)@(12, 5, 2): (1296.000000, 0.000000)
(golden, hw)@(12, 5, 3): (1296.000000, 0.000000)
(golden, hw)@(12, 5, 4): (1296.000000, 0.000000)
(golden, hw)@(12, 5, 5): (1296.000000, 0.000000)
(golden, hw)@(12, 5, 6): (1296.000000, 0.000000)
(golden, hw)@(12, 5, 7): (1296.000000, 0.000000)
(golden, hw)@(12, 6, 0): (1296.000000, 0.000000)
(golden, hw)@(12, 6, 1): (1296.000000, 0.000000)
(golden, hw)@(12, 6, 2): (1296.000000, 0.000000)
(golden, hw)@(12, 6, 3): (1296.000000, 0.000000)
(golden, hw)@(12, 6, 4): (1296.000000, 0.000000)
(golden, hw)@(12, 6, 5): (1296.000000, 0.000000)
(golden, hw)@(12, 6, 6): (1296.000000, 0.000000)
(golden, hw)@(12, 6, 7): (1296.000000, 0.000000)
(golden, hw)@(12, 7, 0): (1296.000000, 0.000000)
(golden, hw)@(12, 7, 1): (1296.000000, 0.000000)
(golden, hw)@(12, 7, 2): (1296.000000, 0.000000)
(golden, hw)@(12, 7, 3): (1296.000000, 0.000000)
(golden, hw)@(12, 7, 4): (1296.000000, 0.000000)
(golden, hw)@(12, 7, 5): (1296.000000, 0.000000)
(golden, hw)@(12, 7, 6): (1296.000000, 0.000000)
(golden, hw)@(12, 7, 7): (1296.000000, 0.000000)
(golden, hw)@(13, 0, 0): (1521.000000, 117.000000)
(golden, hw)@(13, 0, 1): (1521.000000, 117.000000)
(golden, hw)@(13, 0, 2): (1521.000000, 117.000000)
(golden, hw)@(13, 0, 3): (1521.000000, 117.000000)
(golden, hw)@(13, 0, 4): (1521.000000, 117.000000)
(golden, hw)@(13, 0, 5): (1521.000000, 117.000000)
(golden, hw)@(13, 0, 6): (1521.000000, 117.000000)
(golden, hw)@(13, 0, 7): (1521.000000, 117.000000)
(golden, hw)@(13, 1, 0): (1521.000000, 117.000000)
(golden, hw)@(13, 1, 1): (1521.000000, 117.000000)
(golden, hw)@(13, 1, 2): (1521.000000, 117.000000)
(golden, hw)@(13, 1, 3): (1521.000000, 117.000000)
(golden, hw)@(13, 1, 4): (1521.000000, 117.000000)
(golden, hw)@(13, 1, 5): (1521.000000, 117.000000)
(golden, hw)@(13, 1, 6): (1521.000000, 117.000000)
(golden, hw)@(13, 1, 7): (1521.000000, 117.000000)
(golden, hw)@(13, 2, 0): (1521.000000, 117.000000)
(golden, hw)@(13, 2, 1): (1521.000000, 117.000000)
(golden, hw)@(13, 2, 2): (1521.000000, 117.000000)
(golden, hw)@(13, 2, 3): (1521.000000, 117.000000)
(golden, hw)@(13, 2, 4): (1521.000000, 117.000000)
(golden, hw)@(13, 2, 5): (1521.000000, 117.000000)
(golden, hw)@(13, 2, 6): (1521.000000, 117.000000)
(golden, hw)@(13, 2, 7): (1521.000000, 117.000000)
(golden, hw)@(13, 3, 0): (1521.000000, 117.000000)
(golden, hw)@(13, 3, 1): (1521.000000, 117.000000)
(golden, hw)@(13, 3, 2): (1521.000000, 117.000000)
(golden, hw)@(13, 3, 3): (1521.000000, 117.000000)
(golden, hw)@(13, 3, 4): (1521.000000, 117.000000)
(golden, hw)@(13, 3, 5): (1521.000000, 117.000000)
(golden, hw)@(13, 3, 6): (1521.000000, 117.000000)
(golden, hw)@(13, 3, 7): (1521.000000, 117.000000)
(golden, hw)@(13, 4, 0): (1521.000000, 117.000000)
(golden, hw)@(13, 4, 1): (1521.000000, 117.000000)
(golden, hw)@(13, 4, 2): (1521.000000, 117.000000)
(golden, hw)@(13, 4, 3): (1521.000000, 117.000000)
(golden, hw)@(13, 4, 4): (1521.000000, 117.000000)
(golden, hw)@(13, 4, 5): (1521.000000, 117.000000)
(golden, hw)@(13, 4, 6): (1521.000000, 117.000000)
(golden, hw)@(13, 4, 7): (1521.000000, 117.000000)
(golden, hw)@(13, 5, 0): (1521.000000, 117.000000)
(golden, hw)@(13, 5, 1): (1521.000000, 117.000000)
(golden, hw)@(13, 5, 2): (1521.000000, 117.000000)
(golden, hw)@(13, 5, 3): (1521.000000, 117.000000)
(golden, hw)@(13, 5, 4): (1521.000000, 117.000000)
(golden, hw)@(13, 5, 5): (1521.000000, 117.000000)
(golden, hw)@(13, 5, 6): (1521.000000, 117.000000)
(golden, hw)@(13, 5, 7): (1521.000000, 117.000000)
(golden, hw)@(13, 6, 0): (1521.000000, 117.000000)
(golden, hw)@(13, 6, 1): (1521.000000, 117.000000)
(golden, hw)@(13, 6, 2): (1521.000000, 117.000000)
(golden, hw)@(13, 6, 3): (1521.000000, 117.000000)
(golden, hw)@(13, 6, 4): (1521.000000, 117.000000)
(golden, hw)@(13, 6, 5): (1521.000000, 117.000000)
(golden, hw)@(13, 6, 6): (1521.000000, 117.000000)
(golden, hw)@(13, 6, 7): (1521.000000, 117.000000)
(golden, hw)@(13, 7, 0): (1521.000000, 117.000000)
(golden, hw)@(13, 7, 1): (1521.000000, 117.000000)
(golden, hw)@(13, 7, 2): (1521.000000, 117.000000)
(golden, hw)@(13, 7, 3): (1521.000000, 117.000000)
(golden, hw)@(13, 7, 4): (1521.000000, 117.000000)
(golden, hw)@(13, 7, 5): (1521.000000, 117.000000)
(golden, hw)@(13, 7, 6): (1521.000000, 117.000000)
(golden, hw)@(13, 7, 7): (1521.000000, 117.000000)
(golden, hw)@(14, 0, 0): (1764.000000, 252.000000)
(golden, hw)@(14, 0, 1): (1764.000000, 252.000000)
(golden, hw)@(14, 0, 2): (1764.000000, 252.000000)
(golden, hw)@(14, 0, 3): (1764.000000, 252.000000)
(golden, hw)@(14, 0, 4): (1764.000000, 252.000000)
(golden, hw)@(14, 0, 5): (1764.000000, 252.000000)
(golden, hw)@(14, 0, 6): (1764.000000, 252.000000)
(golden, hw)@(14, 0, 7): (1764.000000, 252.000000)
(golden, hw)@(14, 1, 0): (1764.000000, 252.000000)
(golden, hw)@(14, 1, 1): (1764.000000, 252.000000)
(golden, hw)@(14, 1, 2): (1764.000000, 252.000000)
(golden, hw)@(14, 1, 3): (1764.000000, 252.000000)
(golden, hw)@(14, 1, 4): (1764.000000, 252.000000)
(golden, hw)@(14, 1, 5): (1764.000000, 252.000000)
(golden, hw)@(14, 1, 6): (1764.000000, 252.000000)
(golden, hw)@(14, 1, 7): (1764.000000, 252.000000)
(golden, hw)@(14, 2, 0): (1764.000000, 252.000000)
(golden, hw)@(14, 2, 1): (1764.000000, 252.000000)
(golden, hw)@(14, 2, 2): (1764.000000, 252.000000)
(golden, hw)@(14, 2, 3): (1764.000000, 252.000000)
(golden, hw)@(14, 2, 4): (1764.000000, 252.000000)
(golden, hw)@(14, 2, 5): (1764.000000, 252.000000)
(golden, hw)@(14, 2, 6): (1764.000000, 252.000000)
(golden, hw)@(14, 2, 7): (1764.000000, 252.000000)
(golden, hw)@(14, 3, 0): (1764.000000, 252.000000)
(golden, hw)@(14, 3, 1): (1764.000000, 252.000000)
(golden, hw)@(14, 3, 2): (1764.000000, 252.000000)
(golden, hw)@(14, 3, 3): (1764.000000, 252.000000)
(golden, hw)@(14, 3, 4): (1764.000000, 252.000000)
(golden, hw)@(14, 3, 5): (1764.000000, 252.000000)
(golden, hw)@(14, 3, 6): (1764.000000, 252.000000)
(golden, hw)@(14, 3, 7): (1764.000000, 252.000000)
(golden, hw)@(14, 4, 0): (1764.000000, 252.000000)
(golden, hw)@(14, 4, 1): (1764.000000, 252.000000)
(golden, hw)@(14, 4, 2): (1764.000000, 252.000000)
(golden, hw)@(14, 4, 3): (1764.000000, 252.000000)
(golden, hw)@(14, 4, 4): (1764.000000, 252.000000)
(golden, hw)@(14, 4, 5): (1764.000000, 252.000000)
(golden, hw)@(14, 4, 6): (1764.000000, 252.000000)
(golden, hw)@(14, 4, 7): (1764.000000, 252.000000)
(golden, hw)@(14, 5, 0): (1764.000000, 252.000000)
(golden, hw)@(14, 5, 1): (1764.000000, 252.000000)
(golden, hw)@(14, 5, 2): (1764.000000, 252.000000)
(golden, hw)@(14, 5, 3): (1764.000000, 252.000000)
(golden, hw)@(14, 5, 4): (1764.000000, 252.000000)
(golden, hw)@(14, 5, 5): (1764.000000, 252.000000)
(golden, hw)@(14, 5, 6): (1764.000000, 252.000000)
(golden, hw)@(14, 5, 7): (1764.000000, 252.000000)
(golden, hw)@(14, 6, 0): (1764.000000, 252.000000)
(golden, hw)@(14, 6, 1): (1764.000000, 252.000000)
(golden, hw)@(14, 6, 2): (1764.000000, 252.000000)
(golden, hw)@(14, 6, 3): (1764.000000, 252.000000)
(golden, hw)@(14, 6, 4): (1764.000000, 252.000000)
(golden, hw)@(14, 6, 5): (1764.000000, 252.000000)
(golden, hw)@(14, 6, 6): (1764.000000, 252.000000)
(golden, hw)@(14, 6, 7): (1764.000000, 252.000000)
(golden, hw)@(14, 7, 0): (1764.000000, 252.000000)
(golden, hw)@(14, 7, 1): (1764.000000, 252.000000)
(golden, hw)@(14, 7, 2): (1764.000000, 252.000000)
(golden, hw)@(14, 7, 3): (1764.000000, 252.000000)
(golden, hw)@(14, 7, 4): (1764.000000, 252.000000)
(golden, hw)@(14, 7, 5): (1764.000000, 252.000000)
(golden, hw)@(14, 7, 6): (1764.000000, 252.000000)
(golden, hw)@(14, 7, 7): (1764.000000, 252.000000)
(golden, hw)@(15, 0, 0): (2025.000000, 405.000000)
(golden, hw)@(15, 0, 1): (2025.000000, 405.000000)
(golden, hw)@(15, 0, 2): (2025.000000, 405.000000)
(golden, hw)@(15, 0, 3): (2025.000000, 405.000000)
(golden, hw)@(15, 0, 4): (2025.000000, 405.000000)
(golden, hw)@(15, 0, 5): (2025.000000, 405.000000)
(golden, hw)@(15, 0, 6): (2025.000000, 405.000000)
(golden, hw)@(15, 0, 7): (2025.000000, 405.000000)
(golden, hw)@(15, 1, 0): (2025.000000, 405.000000)
(golden, hw)@(15, 1, 1): (2025.000000, 405.000000)
(golden, hw)@(15, 1, 2): (2025.000000, 405.000000)
(golden, hw)@(15, 1, 3): (2025.000000, 405.000000)
(golden, hw)@(15, 1, 4): (2025.000000, 405.000000)
(golden, hw)@(15, 1, 5): (2025.000000, 405.000000)
(golden, hw)@(15, 1, 6): (2025.000000, 405.000000)
(golden, hw)@(15, 1, 7): (2025.000000, 405.000000)
(golden, hw)@(15, 2, 0): (2025.000000, 405.000000)
(golden, hw)@(15, 2, 1): (2025.000000, 405.000000)
(golden, hw)@(15, 2, 2): (2025.000000, 405.000000)
(golden, hw)@(15, 2, 3): (2025.000000, 405.000000)
(golden, hw)@(15, 2, 4): (2025.000000, 405.000000)
(golden, hw)@(15, 2, 5): (2025.000000, 405.000000)
(golden, hw)@(15, 2, 6): (2025.000000, 405.000000)
(golden, hw)@(15, 2, 7): (2025.000000, 405.000000)
(golden, hw)@(15, 3, 0): (2025.000000, 405.000000)
(golden, hw)@(15, 3, 1): (2025.000000, 405.000000)
(golden, hw)@(15, 3, 2): (2025.000000, 405.000000)
(golden, hw)@(15, 3, 3): (2025.000000, 405.000000)
(golden, hw)@(15, 3, 4): (2025.000000, 405.000000)
(golden, hw)@(15, 3, 5): (2025.000000, 405.000000)
(golden, hw)@(15, 3, 6): (2025.000000, 405.000000)
(golden, hw)@(15, 3, 7): (2025.000000, 405.000000)
(golden, hw)@(15, 4, 0): (2025.000000, 405.000000)
(golden, hw)@(15, 4, 1): (2025.000000, 405.000000)
(golden, hw)@(15, 4, 2): (2025.000000, 405.000000)
(golden, hw)@(15, 4, 3): (2025.000000, 405.000000)
(golden, hw)@(15, 4, 4): (2025.000000, 405.000000)
(golden, hw)@(15, 4, 5): (2025.000000, 405.000000)
(golden, hw)@(15, 4, 6): (2025.000000, 405.000000)
(golden, hw)@(15, 4, 7): (2025.000000, 405.000000)
(golden, hw)@(15, 5, 0): (2025.000000, 405.000000)
(golden, hw)@(15, 5, 1): (2025.000000, 405.000000)
(golden, hw)@(15, 5, 2): (2025.000000, 405.000000)
(golden, hw)@(15, 5, 3): (2025.000000, 405.000000)
(golden, hw)@(15, 5, 4): (2025.000000, 405.000000)
(golden, hw)@(15, 5, 5): (2025.000000, 405.000000)
(golden, hw)@(15, 5, 6): (2025.000000, 405.000000)
(golden, hw)@(15, 5, 7): (2025.000000, 405.000000)
(golden, hw)@(15, 6, 0): (2025.000000, 405.000000)
(golden, hw)@(15, 6, 1): (2025.000000, 405.000000)
(golden, hw)@(15, 6, 2): (2025.000000, 405.000000)
(golden, hw)@(15, 6, 3): (2025.000000, 405.000000)
(golden, hw)@(15, 6, 4): (2025.000000, 405.000000)
(golden, hw)@(15, 6, 5): (2025.000000, 405.000000)
(golden, hw)@(15, 6, 6): (2025.000000, 405.000000)
(golden, hw)@(15, 6, 7): (2025.000000, 405.000000)
(golden, hw)@(15, 7, 0): (2025.000000, 405.000000)
(golden, hw)@(15, 7, 1): (2025.000000, 405.000000)
(golden, hw)@(15, 7, 2): (2025.000000, 405.000000)
(golden, hw)@(15, 7, 3): (2025.000000, 405.000000)
(golden, hw)@(15, 7, 4): (2025.000000, 405.000000)
(golden, hw)@(15, 7, 5): (2025.000000, 405.000000)
(golden, hw)@(15, 7, 6): (2025.000000, 405.000000)
(golden, hw)@(15, 7, 7): (2025.000000, 405.000000)
Test failed with 768 errors!
@E Simulation failed: Function 'main' returns nonzero value '255'.
ERROR: [SIM 211-100] 'csim_design' failed: nonzero return value.
INFO: [SIM 211-3] *************** CSIM finish ***************
4
    while executing
"source hls_script.tcl"
    ("uplevel" body line 1)
    invoked from within
"uplevel \#0 [list source $arg] "

INFO: [Common 17-206] Exiting vivado_hls at Mon Feb 14 16:26:03 2022...
`