VHDL-LS / rust_hdl

Other
334 stars 65 forks source link

Panic when (seemingly) completing a port name #300

Closed SethGower closed 4 months ago

SethGower commented 5 months ago

Hello. It seems that the LSP is panicking and exiting with exit code 101 when I try to complete a port name.

Minimal example: vhdl_ls version v0.80.0 Client: Neovim with latest nvim-lspconfig, LSP installed with mason. Using the default configuration in nvim-lspconfig, but here is my config for the LSP.

vhdl_ls.toml

[libraries]
defaultlib.files = [
    "./*.vhd"
]

VHDL File

library ieee;
    use ieee.std_logic_1164.all;
    use ieee.numeric_std.all;

entity test1 is
    port (
        i_clk  : in    std_logic;
        i_rstn : in    std_logic;
        i_d    : in    std_logic;
        o_q    : out   std_logic;
        o_qn   : out   std_logic
    );
end entity test1;

architecture behav of test1 is

begin

    o_q_proc : process (i_clk) is
    begin

        if rising_edge(i_clk) then
            if (i_rstn = '0') then
                o_q <= '0';
            else
                o_q <= i_d;
            end if;
        end if;

    end process o_q_proc;

end architecture behav;

If I try to add o_qn <= not o_q, by typing out o_ and then selecting the autocomplete candidate from the LSP, I get the crash. Seems to be a rust panic. I have attached the log for the whole LSP session. The only related line seems to be the last one, however I figured I'd include it all

[START][2024-05-02 15:48:41] LSP logging initiated
[INFO][2024-05-02 15:48:41] .../vim/lsp/rpc.lua:662 "Starting RPC client"   {  args = {},  cmd = "/home/sgower/.local/share/nvim/mason/bin/vhdl_ls",  extra = {    cwd = "/home/sgower/Documents/Development/test/vhdl"  }}
[DEBUG][2024-05-02 15:48:41] .../vim/lsp/rpc.lua:284    "rpc.send"  {  id = 1,  jsonrpc = "2.0",  method = "initialize",  params = {    capabilities = {      textDocument = {        callHierarchy = {          dynamicRegistration = false        },        codeAction = {          codeActionLiteralSupport = {            codeActionKind = {              valueSet = { "", "quickfix", "refactor", "refactor.extract", "refactor.inline", "refactor.rewrite", "source", "source.organizeImports" }            }          },          dataSupport = true,          dynamicRegistration = false,          isPreferredSupport = true,          resolveSupport = {            properties = { "edit" }          }        },        completion = {          completionItem = {            commitCharactersSupport = false,            deprecatedSupport = false,            documentationFormat = { "markdown", "plaintext" },            preselectSupport = false,            resolveSupport = {              properties = { "documentation", "detail", "additionalTextEdits" }            },            snippetSupport = true          },          completionItemKind = {            valueSet = { 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25 }          },          contextSupport = false,          dynamicRegistration = false        },        declaration = {          linkSupport = true        },        definition = {          linkSupport = true        },        documentHighlight = {          dynamicRegistration = false        },        documentSymbol = {          dynamicRegistration = false,          hierarchicalDocumentSymbolSupport = true,          symbolKind = {            valueSet = { 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26 }          }        },        hover = {          contentFormat = { "markdown", "plaintext" },          dynamicRegistration = false        },        implementation = {          linkSupport = true        },        publishDiagnostics = {          relatedInformation = true,          tagSupport = {            valueSet = { 1, 2 }          }        },        references = {          dynamicRegistration = false        },        rename = {          dynamicRegistration = false,          prepareSupport = true        },        semanticTokens = {          augmentsSyntaxTokens = true,          dynamicRegistration = false,          formats = { "relative" },          multilineTokenSupport = false,          overlappingTokenSupport = true,          requests = {            full = {              delta = true            },            range = false          },          serverCancelSupport = false,          tokenModifiers = { "declaration", "definition", "readonly", "static", "deprecated", "abstract", "async", "modification", "documentation", "defaultLibrary" },          tokenTypes = { "namespace", "type", "class", "enum", "interface", "struct", "typeParameter", "parameter", "variable", "property", "enumMember", "event", "function", "method", "macro", "keyword", "modifier", "comment", "string", "number", "regexp", "operator", "decorator" }        },        signatureHelp = {          dynamicRegistration = false,          signatureInformation = {            activeParameterSupport = true,            documentationFormat = { "markdown", "plaintext" },            parameterInformation = {              labelOffsetSupport = true            }          }        },        synchronization = {          didSave = true,          dynamicRegistration = false,          willSave = true,          willSaveWaitUntil = true        },        typeDefinition = {          linkSupport = true        }      },      window = {        showDocument = {          support = true        },        showMessage = {          messageActionItem = {            additionalPropertiesSupport = false          }        },        workDoneProgress = true      },      workspace = {        applyEdit = true,        configuration = true,        didChangeWatchedFiles = {          dynamicRegistration = false,          relativePatternSupport = true        },        semanticTokens = {          refreshSupport = true        },        symbol = {          dynamicRegistration = false,          hierarchicalWorkspaceSymbolSupport = true,          symbolKind = {            valueSet = { 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26 }          }        },        workspaceEdit = {          resourceOperations = { "rename", "create", "delete" }        },        workspaceFolders = true      }    },    clientInfo = {      name = "Neovim",      version = "0.9.5"    },    initializationOptions = vim.empty_dict(),    processId = 2692421,    rootPath = "/home/sgower/Documents/Development/test/vhdl",    rootUri = "file:///home/sgower/Documents/Development/test/vhdl",    trace = "off",    workspaceFolders = { {        name = "/home/sgower/Documents/Development/test/vhdl",        uri = "file:///home/sgower/Documents/Development/test/vhdl"      } }  }}
[DEBUG][2024-05-02 15:48:41] .../vim/lsp/rpc.lua:387    "rpc.receive"   {  jsonrpc = "2.0",  method = "window/logMessage",  params = {    message = "Loaded Installation configuration file: /home/sgower/.local/share/nvim/mason/packages/rust_hdl/vhdl_ls-x86_64-unknown-linux-musl/bin/../vhdl_libraries/vhdl_ls.toml",    type = 4  }}
[DEBUG][2024-05-02 15:48:41] .../vim/lsp/rpc.lua:387    "rpc.receive"   {  jsonrpc = "2.0",  method = "window/logMessage",  params = {    message = "Loaded HOME folder configuration file: /home/sgower/.vhdl_ls.toml",    type = 4  }}
[DEBUG][2024-05-02 15:48:41] .../vim/lsp/rpc.lua:387    "rpc.receive"   {  jsonrpc = "2.0",  method = "window/logMessage",  params = {    message = "Loaded workspace root configuration file: /home/sgower/Documents/Development/test/vhdl/vhdl_ls.toml",    type = 4  }}
[INFO][2024-05-02 15:48:41] ...lsp/handlers.lua:539 "Loaded Installation configuration file: /home/sgower/.local/share/nvim/mason/packages/rust_hdl/vhdl_ls-x86_64-unknown-linux-musl/bin/../vhdl_libraries/vhdl_ls.toml"
[INFO][2024-05-02 15:48:41] ...lsp/handlers.lua:539 "Loaded HOME folder configuration file: /home/sgower/.vhdl_ls.toml"
[INFO][2024-05-02 15:48:41] ...lsp/handlers.lua:539 "Loaded workspace root configuration file: /home/sgower/Documents/Development/test/vhdl/vhdl_ls.toml"
[DEBUG][2024-05-02 15:48:41] .../vim/lsp/rpc.lua:387    "rpc.receive"   {  id = 1,  jsonrpc = "2.0",  result = {    capabilities = {      completionProvider = {        completionItem = {          labelDetailsSupport = true        },        resolveProvider = true,        triggerCharacters = { "." }      },      declarationProvider = true,      definitionProvider = true,      documentSymbolProvider = true,      hoverProvider = true,      implementationProvider = true,      referencesProvider = true,      renameProvider = {        prepareProvider = true      },      textDocumentSync = 2,      workspaceSymbolProvider = true    }  }}
[DEBUG][2024-05-02 15:48:41] .../vim/lsp/rpc.lua:284    "rpc.send"  {  jsonrpc = "2.0",  method = "initialized",  params = vim.empty_dict()}
[INFO][2024-05-02 15:48:41] .../lua/vim/lsp.lua:1344    "LSP[vhdl_ls]"  "server_capabilities"   {  server_capabilities = {    completionProvider = {      completionItem = {        labelDetailsSupport = true      },      resolveProvider = true,      triggerCharacters = { "." }    },    declarationProvider = true,    definitionProvider = true,    documentSymbolProvider = true,    hoverProvider = true,    implementationProvider = true,    referencesProvider = true,    renameProvider = {      prepareProvider = true    },    textDocumentSync = {      change = 2,      openClose = true,      save = {        includeText = false      },      willSave = false,      willSaveWaitUntil = false    },    workspaceSymbolProvider = true  }}
[DEBUG][2024-05-02 15:48:41] .../vim/lsp/rpc.lua:284    "rpc.send"  {  jsonrpc = "2.0",  method = "textDocument/didOpen",  params = {    textDocument = {      languageId = "vhdl",      text = "library ieee;\n    use ieee.std_logic_1164.all;\n    use ieee.numeric_std.all;\n\nentity test1 is\n    port (\n        i_clk  : in    std_logic;\n        i_rstn : in    std_logic;\n        i_d    : in    std_logic;\n        o_q    : out   std_logic;\n        o_qn   : out   std_logic\n    );\nend entity test1;\n\narchitecture behav of test1 is\n\nbegin\n\n    o_q_proc : process (i_clk) is\n    begin\n\n        if rising_edge(i_clk) then\n            if (i_rstn = '0') then\n                o_q <= '0';\n            else\n                o_q <= i_d;\n            end if;\n        end if;\n\n    end process o_q_proc;\n\n    o_qn <= not o_q;\n\nend architecture behav;\n",      uri = "file:///home/sgower/Documents/Development/test/vhdl/test1.vhd",      version = 0    }  }}
[DEBUG][2024-05-02 15:48:41] .../vim/lsp/rpc.lua:284    "rpc.send"  {  jsonrpc = "2.0",  method = "workspace/didChangeConfiguration",  params = {    settings = vim.empty_dict()  }}
[DEBUG][2024-05-02 15:48:42] .../vim/lsp/rpc.lua:387    "rpc.receive"   {  jsonrpc = "2.0",  method = "textDocument/publishDiagnostics",  params = {    diagnostics = { {        code = "syntax_error",        message = "Expected '<=' or ';'",        range = {          ["end"] = {            character = 7,            line = 119          },          start = {            character = 7,            line = 119          }        },        severity = 1,        source = "vhdl ls"      }, {        code = "unnecessary_work_library",        message = "Library clause not necessary for current working library",        range = {          ["end"] = {            character = 12,            line = 27          },          start = {            character = 8,            line = 27          }        },        severity = 2,        source = "vhdl ls"      }, {        code = "unused",        message = "Unused declaration of port 'i_d' : in",        range = {          ["end"] = {            character = 11,            line = 56          },          start = {            character = 8,            line = 56          }        },        severity = 2,        source = "vhdl ls"      }, {        code = "unused",        message = "Unused declaration of port 'i_c' : in",        range = {          ["end"] = {            character = 11,            line = 43          },          start = {            character = 8,            line = 43          }        },        severity = 2,        source = "vhdl ls"      } },    uri = "file:///home/sgower/Documents/Development/test/vhdl/test.vhd"  }}
[DEBUG][2024-05-02 15:48:42] .../vim/lsp/rpc.lua:387    "rpc.receive"   {  jsonrpc = "2.0",  method = "textDocument/publishDiagnostics",  params = {    diagnostics = { {        code = "syntax_error",        message = "Expected '<=' or ';'",        range = {          ["end"] = {            character = 7,            line = 119          },          start = {            character = 7,            line = 119          }        },        severity = 1,        source = "vhdl ls"      }, {        code = "unnecessary_work_library",        message = "Library clause not necessary for current working library",        range = {          ["end"] = {            character = 12,            line = 27          },          start = {            character = 8,            line = 27          }        },        severity = 2,        source = "vhdl ls"      }, {        code = "unused",        message = "Unused declaration of port 'i_d' : in",        range = {          ["end"] = {            character = 11,            line = 56          },          start = {            character = 8,            line = 56          }        },        severity = 2,        source = "vhdl ls"      }, {        code = "unused",        message = "Unused declaration of port 'i_c' : in",        range = {          ["end"] = {            character = 11,            line = 43          },          start = {            character = 8,            line = 43          }        },        severity = 2,        source = "vhdl ls"      } },    uri = "file:///home/sgower/Documents/Development/test/vhdl/test.vhd"  }}
[DEBUG][2024-05-02 15:48:49] .../vim/lsp/rpc.lua:284    "rpc.send"  {  jsonrpc = "2.0",  method = "textDocument/didChange",  params = {    contentChanges = { {        range = {          ["end"] = {            character = 0,            line = 32          },          start = {            character = 0,            line = 30          }        },        rangeLength = 22,        text = ""      } },    textDocument = {      uri = "file:///home/sgower/Documents/Development/test/vhdl/test1.vhd",      version = 5    }  }}
[DEBUG][2024-05-02 15:48:49] .../vim/lsp/rpc.lua:387    "rpc.receive"   {  jsonrpc = "2.0",  method = "textDocument/publishDiagnostics",  params = {    diagnostics = { {        code = "syntax_error",        message = "Expected '<=' or ';'",        range = {          ["end"] = {            character = 7,            line = 119          },          start = {            character = 7,            line = 119          }        },        severity = 1,        source = "vhdl ls"      }, {        code = "unnecessary_work_library",        message = "Library clause not necessary for current working library",        range = {          ["end"] = {            character = 12,            line = 27          },          start = {            character = 8,            line = 27          }        },        severity = 2,        source = "vhdl ls"      }, {        code = "unused",        message = "Unused declaration of port 'i_d' : in",        range = {          ["end"] = {            character = 11,            line = 56          },          start = {            character = 8,            line = 56          }        },        severity = 2,        source = "vhdl ls"      }, {        code = "unused",        message = "Unused declaration of port 'i_c' : in",        range = {          ["end"] = {            character = 11,            line = 43          },          start = {            character = 8,            line = 43          }        },        severity = 2,        source = "vhdl ls"      } },    uri = "file:///home/sgower/Documents/Development/test/vhdl/test.vhd"  }}
[DEBUG][2024-05-02 15:48:49] .../vim/lsp/rpc.lua:387    "rpc.receive"   {  jsonrpc = "2.0",  method = "textDocument/publishDiagnostics",  params = {    diagnostics = { {        code = "unused",        message = "Unused declaration of port 'o_qn' : out",        range = {          ["end"] = {            character = 12,            line = 10          },          start = {            character = 8,            line = 10          }        },        severity = 2,        source = "vhdl ls"      } },    uri = "file:///home/sgower/Documents/Development/test/vhdl/test1.vhd"  }}
[DEBUG][2024-05-02 15:48:50] .../vim/lsp/rpc.lua:284    "rpc.send"  {  jsonrpc = "2.0",  method = "textDocument/didSave",  params = {    textDocument = {      uri = "file:///home/sgower/Documents/Development/test/vhdl/test1.vhd"    }  }}
[DEBUG][2024-05-02 15:48:54] .../vim/lsp/rpc.lua:284    "rpc.send"  {  jsonrpc = "2.0",  method = "textDocument/didChange",  params = {    contentChanges = { {        range = {          ["end"] = {            character = 0,            line = 30          },          start = {            character = 25,            line = 29          }        },        rangeLength = 1,        text = "\n    \n"      } },    textDocument = {      uri = "file:///home/sgower/Documents/Development/test/vhdl/test1.vhd",      version = 7    }  }}
[DEBUG][2024-05-02 15:48:54] .../vim/lsp/rpc.lua:387    "rpc.receive"   {  jsonrpc = "2.0",  method = "textDocument/publishDiagnostics",  params = {    diagnostics = { {        code = "syntax_error",        message = "Expected '<=' or ';'",        range = {          ["end"] = {            character = 7,            line = 119          },          start = {            character = 7,            line = 119          }        },        severity = 1,        source = "vhdl ls"      }, {        code = "unnecessary_work_library",        message = "Library clause not necessary for current working library",        range = {          ["end"] = {            character = 12,            line = 27          },          start = {            character = 8,            line = 27          }        },        severity = 2,        source = "vhdl ls"      }, {        code = "unused",        message = "Unused declaration of port 'i_d' : in",        range = {          ["end"] = {            character = 11,            line = 56          },          start = {            character = 8,            line = 56          }        },        severity = 2,        source = "vhdl ls"      }, {        code = "unused",        message = "Unused declaration of port 'i_c' : in",        range = {          ["end"] = {            character = 11,            line = 43          },          start = {            character = 8,            line = 43          }        },        severity = 2,        source = "vhdl ls"      } },    uri = "file:///home/sgower/Documents/Development/test/vhdl/test.vhd"  }}
[DEBUG][2024-05-02 15:48:54] .../vim/lsp/rpc.lua:387    "rpc.receive"   {  jsonrpc = "2.0",  method = "textDocument/publishDiagnostics",  params = {    diagnostics = { {        code = "unused",        message = "Unused declaration of port 'o_qn' : out",        range = {          ["end"] = {            character = 12,            line = 10          },          start = {            character = 8,            line = 10          }        },        severity = 2,        source = "vhdl ls"      } },    uri = "file:///home/sgower/Documents/Development/test/vhdl/test1.vhd"  }}
[DEBUG][2024-05-02 15:48:55] .../vim/lsp/rpc.lua:284    "rpc.send"  {  jsonrpc = "2.0",  method = "textDocument/didChange",  params = {    contentChanges = { {        range = {          ["end"] = {            character = 4,            line = 30          },          start = {            character = 0,            line = 30          }        },        rangeLength = 4,        text = "\n    "      } },    textDocument = {      uri = "file:///home/sgower/Documents/Development/test/vhdl/test1.vhd",      version = 8    }  }}
[DEBUG][2024-05-02 15:48:55] .../vim/lsp/rpc.lua:387    "rpc.receive"   {  jsonrpc = "2.0",  method = "textDocument/publishDiagnostics",  params = {    diagnostics = { {        code = "syntax_error",        message = "Expected '<=' or ';'",        range = {          ["end"] = {            character = 7,            line = 119          },          start = {            character = 7,            line = 119          }        },        severity = 1,        source = "vhdl ls"      }, {        code = "unnecessary_work_library",        message = "Library clause not necessary for current working library",        range = {          ["end"] = {            character = 12,            line = 27          },          start = {            character = 8,            line = 27          }        },        severity = 2,        source = "vhdl ls"      }, {        code = "unused",        message = "Unused declaration of port 'i_d' : in",        range = {          ["end"] = {            character = 11,            line = 56          },          start = {            character = 8,            line = 56          }        },        severity = 2,        source = "vhdl ls"      }, {        code = "unused",        message = "Unused declaration of port 'i_c' : in",        range = {          ["end"] = {            character = 11,            line = 43          },          start = {            character = 8,            line = 43          }        },        severity = 2,        source = "vhdl ls"      } },    uri = "file:///home/sgower/Documents/Development/test/vhdl/test.vhd"  }}
[DEBUG][2024-05-02 15:48:55] .../vim/lsp/rpc.lua:387    "rpc.receive"   {  jsonrpc = "2.0",  method = "textDocument/publishDiagnostics",  params = {    diagnostics = { {        code = "unused",        message = "Unused declaration of port 'o_qn' : out",        range = {          ["end"] = {            character = 12,            line = 10          },          start = {            character = 8,            line = 10          }        },        severity = 2,        source = "vhdl ls"      } },    uri = "file:///home/sgower/Documents/Development/test/vhdl/test1.vhd"  }}
[DEBUG][2024-05-02 15:48:55] .../vim/lsp/rpc.lua:284    "rpc.send"  {  jsonrpc = "2.0",  method = "textDocument/didChange",  params = {    contentChanges = { {        range = {          ["end"] = {            character = 4,            line = 31          },          start = {            character = 4,            line = 31          }        },        rangeLength = 0,        text = "o"      } },    textDocument = {      uri = "file:///home/sgower/Documents/Development/test/vhdl/test1.vhd",      version = 9    }  }}
[DEBUG][2024-05-02 15:48:55] .../lua/vim/lsp.lua:1391   "LSP[vhdl_ls]"  "client.request"    1   "textDocument/completion"   {  context = {    triggerKind = 1  },  position = {    character = 5,    line = 31  },  textDocument = {    uri = "file:///home/sgower/Documents/Development/test/vhdl/test1.vhd"  }}   <function 1>    1
[DEBUG][2024-05-02 15:48:55] .../vim/lsp/rpc.lua:284    "rpc.send"  {  id = 2,  jsonrpc = "2.0",  method = "textDocument/completion",  params = {    context = {      triggerKind = 1    },    position = {      character = 5,      line = 31    },    textDocument = {      uri = "file:///home/sgower/Documents/Development/test/vhdl/test1.vhd"    }  }}
[DEBUG][2024-05-02 15:48:55] .../vim/lsp/rpc.lua:387    "rpc.receive"   {  jsonrpc = "2.0",  method = "textDocument/publishDiagnostics",  params = {    diagnostics = { {        code = "syntax_error",        message = "Expected '<=' or ';'",        range = {          ["end"] = {            character = 7,            line = 119          },          start = {            character = 7,            line = 119          }        },        severity = 1,        source = "vhdl ls"      }, {        code = "unnecessary_work_library",        message = "Library clause not necessary for current working library",        range = {          ["end"] = {            character = 12,            line = 27          },          start = {            character = 8,            line = 27          }        },        severity = 2,        source = "vhdl ls"      }, {        code = "unused",        message = "Unused declaration of port 'i_d' : in",        range = {          ["end"] = {            character = 11,            line = 56          },          start = {            character = 8,            line = 56          }        },        severity = 2,        source = "vhdl ls"      }, {        code = "unused",        message = "Unused declaration of port 'i_c' : in",        range = {          ["end"] = {            character = 11,            line = 43          },          start = {            character = 8,            line = 43          }        },        severity = 2,        source = "vhdl ls"      } },    uri = "file:///home/sgower/Documents/Development/test/vhdl/test.vhd"  }}
[DEBUG][2024-05-02 15:48:55] .../vim/lsp/rpc.lua:387    "rpc.receive"   {  jsonrpc = "2.0",  method = "textDocument/publishDiagnostics",  params = {    diagnostics = { {        code = "syntax_error",        message = "Expected '<=' or ';'",        range = {          ["end"] = {            character = 5,            line = 31          },          start = {            character = 5,            line = 31          }        },        severity = 1,        source = "vhdl ls"      }, {        code = "unused",        message = "Unused declaration of port 'o_qn' : out",        range = {          ["end"] = {            character = 12,            line = 10          },          start = {            character = 8,            line = 10          }        },        severity = 2,        source = "vhdl ls"      } },    uri = "file:///home/sgower/Documents/Development/test/vhdl/test1.vhd"  }}
[DEBUG][2024-05-02 15:48:55] .../vim/lsp/rpc.lua:387    "rpc.receive"   {  id = 2,  jsonrpc = "2.0",  result = {    isIncomplete = true,    items = { {        data = 253403070465,        detail = "port 'i_clk' : in",        insertText = "i_clk",        kind = 23,        label = "i_clk"      }, {        data = 253403070467,        detail = "port 'i_d' : in",        insertText = "i_d",        kind = 23,        label = "i_d"      }, {        data = 253403070466,        detail = "port 'i_rstn' : in",        insertText = "i_rstn",        kind = 23,        label = "i_rstn"      }, {        data = 253403070468,        detail = "port 'o_q' : out",        insertText = "o_q",        kind = 23,        label = "o_q"      }, {        data = 253403070469,        detail = "port 'o_qn' : out",        insertText = "o_qn",        kind = 23,        label = "o_qn"      }, {        insertText = "${1:test_inst}: entity ${2|work,defaultlib|}.test\n generic map(\n    G_WIDTH => ${4:G_WIDTH}\n)\n port map(\n    i_clk => ${5:i_clk},\n    i_a => ${6:i_a},\n    i_b => ${7:i_b},\n    i_c => ${8:i_c},\n    i_d => ${9:i_d},\n    o_x => ${10:o_x},\n    o_sig => ${11:o_sig}\n);",        insertTextFormat = 2,        kind = 9,        label = "test instantiation"      } }  }}
[DEBUG][2024-05-02 15:48:55] .../vim/lsp/rpc.lua:284    "rpc.send"  {  jsonrpc = "2.0",  method = "textDocument/didChange",  params = {    contentChanges = { {        range = {          ["end"] = {            character = 5,            line = 31          },          start = {            character = 5,            line = 31          }        },        rangeLength = 0,        text = "_"      } },    textDocument = {      uri = "file:///home/sgower/Documents/Development/test/vhdl/test1.vhd",      version = 10    }  }}
[DEBUG][2024-05-02 15:48:55] .../vim/lsp/rpc.lua:387    "rpc.receive"   {  jsonrpc = "2.0",  method = "textDocument/publishDiagnostics",  params = {    diagnostics = { {        code = "syntax_error",        message = "Expected '<=' or ';'",        range = {          ["end"] = {            character = 7,            line = 119          },          start = {            character = 7,            line = 119          }        },        severity = 1,        source = "vhdl ls"      }, {        code = "unnecessary_work_library",        message = "Library clause not necessary for current working library",        range = {          ["end"] = {            character = 12,            line = 27          },          start = {            character = 8,            line = 27          }        },        severity = 2,        source = "vhdl ls"      }, {        code = "unused",        message = "Unused declaration of port 'i_d' : in",        range = {          ["end"] = {            character = 11,            line = 56          },          start = {            character = 8,            line = 56          }        },        severity = 2,        source = "vhdl ls"      }, {        code = "unused",        message = "Unused declaration of port 'i_c' : in",        range = {          ["end"] = {            character = 11,            line = 43          },          start = {            character = 8,            line = 43          }        },        severity = 2,        source = "vhdl ls"      } },    uri = "file:///home/sgower/Documents/Development/test/vhdl/test.vhd"  }}
[DEBUG][2024-05-02 15:48:55] .../vim/lsp/rpc.lua:387    "rpc.receive"   {  jsonrpc = "2.0",  method = "textDocument/publishDiagnostics",  params = {    diagnostics = { {        code = "syntax_error",        message = "Expected '<=' or ';'",        range = {          ["end"] = {            character = 6,            line = 31          },          start = {            character = 6,            line = 31          }        },        severity = 1,        source = "vhdl ls"      }, {        code = "unused",        message = "Unused declaration of port 'o_qn' : out",        range = {          ["end"] = {            character = 12,            line = 10          },          start = {            character = 8,            line = 10          }        },        severity = 2,        source = "vhdl ls"      } },    uri = "file:///home/sgower/Documents/Development/test/vhdl/test1.vhd"  }}
[DEBUG][2024-05-02 15:48:55] .../lua/vim/lsp.lua:1391   "LSP[vhdl_ls]"  "client.request"    1   "textDocument/completion"   {  context = {    triggerKind = 3  },  position = {    character = 6,    line = 31  },  textDocument = {    uri = "file:///home/sgower/Documents/Development/test/vhdl/test1.vhd"  }}   <function 1>    1
[DEBUG][2024-05-02 15:48:55] .../vim/lsp/rpc.lua:284    "rpc.send"  {  id = 3,  jsonrpc = "2.0",  method = "textDocument/completion",  params = {    context = {      triggerKind = 3    },    position = {      character = 6,      line = 31    },    textDocument = {      uri = "file:///home/sgower/Documents/Development/test/vhdl/test1.vhd"    }  }}
[DEBUG][2024-05-02 15:48:56] .../vim/lsp/rpc.lua:387    "rpc.receive"   {  id = 3,  jsonrpc = "2.0",  result = {    isIncomplete = true,    items = { {        data = 261993005057,        detail = "port 'i_clk' : in",        insertText = "i_clk",        kind = 23,        label = "i_clk"      }, {        data = 261993005059,        detail = "port 'i_d' : in",        insertText = "i_d",        kind = 23,        label = "i_d"      }, {        data = 261993005058,        detail = "port 'i_rstn' : in",        insertText = "i_rstn",        kind = 23,        label = "i_rstn"      }, {        data = 261993005060,        detail = "port 'o_q' : out",        insertText = "o_q",        kind = 23,        label = "o_q"      }, {        data = 261993005061,        detail = "port 'o_qn' : out",        insertText = "o_qn",        kind = 23,        label = "o_qn"      }, {        insertText = "${1:test_inst}: entity ${2|work,defaultlib|}.test\n generic map(\n    G_WIDTH => ${4:G_WIDTH}\n)\n port map(\n    i_clk => ${5:i_clk},\n    i_a => ${6:i_a},\n    i_b => ${7:i_b},\n    i_c => ${8:i_c},\n    i_d => ${9:i_d},\n    o_x => ${10:o_x},\n    o_sig => ${11:o_sig}\n);",        insertTextFormat = 2,        kind = 9,        label = "test instantiation"      } }  }}
[DEBUG][2024-05-02 15:48:56] .../vim/lsp/rpc.lua:284    "rpc.send"  {  jsonrpc = "2.0",  method = "textDocument/didChange",  params = {    contentChanges = { {        range = {          ["end"] = {            character = 6,            line = 31          },          start = {            character = 6,            line = 31          }        },        rangeLength = 0,        text = "q"      } },    textDocument = {      uri = "file:///home/sgower/Documents/Development/test/vhdl/test1.vhd",      version = 11    }  }}
[DEBUG][2024-05-02 15:48:56] .../vim/lsp/rpc.lua:387    "rpc.receive"   {  jsonrpc = "2.0",  method = "textDocument/publishDiagnostics",  params = {    diagnostics = { {        code = "syntax_error",        message = "Expected '<=' or ';'",        range = {          ["end"] = {            character = 7,            line = 119          },          start = {            character = 7,            line = 119          }        },        severity = 1,        source = "vhdl ls"      }, {        code = "unnecessary_work_library",        message = "Library clause not necessary for current working library",        range = {          ["end"] = {            character = 12,            line = 27          },          start = {            character = 8,            line = 27          }        },        severity = 2,        source = "vhdl ls"      }, {        code = "unused",        message = "Unused declaration of port 'i_d' : in",        range = {          ["end"] = {            character = 11,            line = 56          },          start = {            character = 8,            line = 56          }        },        severity = 2,        source = "vhdl ls"      }, {        code = "unused",        message = "Unused declaration of port 'i_c' : in",        range = {          ["end"] = {            character = 11,            line = 43          },          start = {            character = 8,            line = 43          }        },        severity = 2,        source = "vhdl ls"      } },    uri = "file:///home/sgower/Documents/Development/test/vhdl/test.vhd"  }}
[DEBUG][2024-05-02 15:48:56] .../vim/lsp/rpc.lua:387    "rpc.receive"   {  jsonrpc = "2.0",  method = "textDocument/publishDiagnostics",  params = {    diagnostics = { {        code = "syntax_error",        message = "Expected '<=' or ';'",        range = {          ["end"] = {            character = 7,            line = 31          },          start = {            character = 7,            line = 31          }        },        severity = 1,        source = "vhdl ls"      }, {        code = "unused",        message = "Unused declaration of port 'o_qn' : out",        range = {          ["end"] = {            character = 12,            line = 10          },          start = {            character = 8,            line = 10          }        },        severity = 2,        source = "vhdl ls"      } },    uri = "file:///home/sgower/Documents/Development/test/vhdl/test1.vhd"  }}
[DEBUG][2024-05-02 15:48:56] .../lua/vim/lsp.lua:1391   "LSP[vhdl_ls]"  "client.request"    1   "textDocument/completion"   {  context = {    triggerKind = 3  },  position = {    character = 7,    line = 31  },  textDocument = {    uri = "file:///home/sgower/Documents/Development/test/vhdl/test1.vhd"  }}   <function 1>    1
[DEBUG][2024-05-02 15:48:56] .../vim/lsp/rpc.lua:284    "rpc.send"  {  id = 4,  jsonrpc = "2.0",  method = "textDocument/completion",  params = {    context = {      triggerKind = 3    },    position = {      character = 7,      line = 31    },    textDocument = {      uri = "file:///home/sgower/Documents/Development/test/vhdl/test1.vhd"    }  }}
[DEBUG][2024-05-02 15:48:56] .../vim/lsp/rpc.lua:387    "rpc.receive"   {  id = 4,  jsonrpc = "2.0",  result = {    isIncomplete = true,    items = { {        data = 270582939649,        detail = "port 'i_clk' : in",        insertText = "i_clk",        kind = 23,        label = "i_clk"      }, {        data = 270582939651,        detail = "port 'i_d' : in",        insertText = "i_d",        kind = 23,        label = "i_d"      }, {        data = 270582939650,        detail = "port 'i_rstn' : in",        insertText = "i_rstn",        kind = 23,        label = "i_rstn"      }, {        data = 270582939652,        detail = "port 'o_q' : out",        insertText = "o_q",        kind = 23,        label = "o_q"      }, {        data = 270582939653,        detail = "port 'o_qn' : out",        insertText = "o_qn",        kind = 23,        label = "o_qn"      }, {        insertText = "${1:test_inst}: entity ${2|work,defaultlib|}.test\n generic map(\n    G_WIDTH => ${4:G_WIDTH}\n)\n port map(\n    i_clk => ${5:i_clk},\n    i_a => ${6:i_a},\n    i_b => ${7:i_b},\n    i_c => ${8:i_c},\n    i_d => ${9:i_d},\n    o_x => ${10:o_x},\n    o_sig => ${11:o_sig}\n);",        insertTextFormat = 2,        kind = 9,        label = "test instantiation"      } }  }}
[DEBUG][2024-05-02 15:48:56] .../vim/lsp/rpc.lua:284    "rpc.send"  {  jsonrpc = "2.0",  method = "textDocument/didChange",  params = {    contentChanges = { {        range = {          ["end"] = {            character = 7,            line = 31          },          start = {            character = 7,            line = 31          }        },        rangeLength = 0,        text = "n"      } },    textDocument = {      uri = "file:///home/sgower/Documents/Development/test/vhdl/test1.vhd",      version = 12    }  }}
[DEBUG][2024-05-02 15:48:56] .../vim/lsp/rpc.lua:387    "rpc.receive"   {  jsonrpc = "2.0",  method = "textDocument/publishDiagnostics",  params = {    diagnostics = { {        code = "syntax_error",        message = "Expected '<=' or ';'",        range = {          ["end"] = {            character = 7,            line = 119          },          start = {            character = 7,            line = 119          }        },        severity = 1,        source = "vhdl ls"      }, {        code = "unnecessary_work_library",        message = "Library clause not necessary for current working library",        range = {          ["end"] = {            character = 12,            line = 27          },          start = {            character = 8,            line = 27          }        },        severity = 2,        source = "vhdl ls"      }, {        code = "unused",        message = "Unused declaration of port 'i_d' : in",        range = {          ["end"] = {            character = 11,            line = 56          },          start = {            character = 8,            line = 56          }        },        severity = 2,        source = "vhdl ls"      }, {        code = "unused",        message = "Unused declaration of port 'i_c' : in",        range = {          ["end"] = {            character = 11,            line = 43          },          start = {            character = 8,            line = 43          }        },        severity = 2,        source = "vhdl ls"      } },    uri = "file:///home/sgower/Documents/Development/test/vhdl/test.vhd"  }}
[DEBUG][2024-05-02 15:48:56] .../vim/lsp/rpc.lua:387    "rpc.receive"   {  jsonrpc = "2.0",  method = "textDocument/publishDiagnostics",  params = {    diagnostics = { {        code = "syntax_error",        message = "Expected '<=' or ';'",        range = {          ["end"] = {            character = 8,            line = 31          },          start = {            character = 8,            line = 31          }        },        severity = 1,        source = "vhdl ls"      }, {        code = "unused",        message = "Unused declaration of port 'o_qn' : out",        range = {          ["end"] = {            character = 12,            line = 10          },          start = {            character = 8,            line = 10          }        },        severity = 2,        source = "vhdl ls"      } },    uri = "file:///home/sgower/Documents/Development/test/vhdl/test1.vhd"  }}
[DEBUG][2024-05-02 15:48:56] .../lua/vim/lsp.lua:1391   "LSP[vhdl_ls]"  "client.request"    1   "textDocument/completion"   {  context = {    triggerKind = 3  },  position = {    character = 8,    line = 31  },  textDocument = {    uri = "file:///home/sgower/Documents/Development/test/vhdl/test1.vhd"  }}   <function 1>    1
[DEBUG][2024-05-02 15:48:56] .../vim/lsp/rpc.lua:284    "rpc.send"  {  id = 5,  jsonrpc = "2.0",  method = "textDocument/completion",  params = {    context = {      triggerKind = 3    },    position = {      character = 8,      line = 31    },    textDocument = {      uri = "file:///home/sgower/Documents/Development/test/vhdl/test1.vhd"    }  }}
[DEBUG][2024-05-02 15:48:56] .../vim/lsp/rpc.lua:387    "rpc.receive"   {  id = 5,  jsonrpc = "2.0",  result = {    isIncomplete = true,    items = { {        data = 279172874241,        detail = "port 'i_clk' : in",        insertText = "i_clk",        kind = 23,        label = "i_clk"      }, {        data = 279172874243,        detail = "port 'i_d' : in",        insertText = "i_d",        kind = 23,        label = "i_d"      }, {        data = 279172874242,        detail = "port 'i_rstn' : in",        insertText = "i_rstn",        kind = 23,        label = "i_rstn"      }, {        data = 279172874244,        detail = "port 'o_q' : out",        insertText = "o_q",        kind = 23,        label = "o_q"      }, {        data = 279172874245,        detail = "port 'o_qn' : out",        insertText = "o_qn",        kind = 23,        label = "o_qn"      }, {        insertText = "${1:test_inst}: entity ${2|work,defaultlib|}.test\n generic map(\n    G_WIDTH => ${4:G_WIDTH}\n)\n port map(\n    i_clk => ${5:i_clk},\n    i_a => ${6:i_a},\n    i_b => ${7:i_b},\n    i_c => ${8:i_c},\n    i_d => ${9:i_d},\n    o_x => ${10:o_x},\n    o_sig => ${11:o_sig}\n);",        insertTextFormat = 2,        kind = 9,        label = "test instantiation"      } }  }}
[DEBUG][2024-05-02 15:48:57] .../vim/lsp/rpc.lua:284    "rpc.send"  {  jsonrpc = "2.0",  method = "textDocument/didChange",  params = {    contentChanges = { {        range = {          ["end"] = {            character = 8,            line = 31          },          start = {            character = 7,            line = 31          }        },        rangeLength = 1,        text = ""      } },    textDocument = {      uri = "file:///home/sgower/Documents/Development/test/vhdl/test1.vhd",      version = 13    }  }}
[DEBUG][2024-05-02 15:48:57] .../vim/lsp/rpc.lua:387    "rpc.receive"   {  jsonrpc = "2.0",  method = "textDocument/publishDiagnostics",  params = {    diagnostics = { {        code = "syntax_error",        message = "Expected '<=' or ';'",        range = {          ["end"] = {            character = 7,            line = 119          },          start = {            character = 7,            line = 119          }        },        severity = 1,        source = "vhdl ls"      }, {        code = "unnecessary_work_library",        message = "Library clause not necessary for current working library",        range = {          ["end"] = {            character = 12,            line = 27          },          start = {            character = 8,            line = 27          }        },        severity = 2,        source = "vhdl ls"      }, {        code = "unused",        message = "Unused declaration of port 'i_d' : in",        range = {          ["end"] = {            character = 11,            line = 56          },          start = {            character = 8,            line = 56          }        },        severity = 2,        source = "vhdl ls"      }, {        code = "unused",        message = "Unused declaration of port 'i_c' : in",        range = {          ["end"] = {            character = 11,            line = 43          },          start = {            character = 8,            line = 43          }        },        severity = 2,        source = "vhdl ls"      } },    uri = "file:///home/sgower/Documents/Development/test/vhdl/test.vhd"  }}
[DEBUG][2024-05-02 15:48:57] .../vim/lsp/rpc.lua:387    "rpc.receive"   {  jsonrpc = "2.0",  method = "textDocument/publishDiagnostics",  params = {    diagnostics = { {        code = "syntax_error",        message = "Expected '<=' or ';'",        range = {          ["end"] = {            character = 7,            line = 31          },          start = {            character = 7,            line = 31          }        },        severity = 1,        source = "vhdl ls"      }, {        code = "unused",        message = "Unused declaration of port 'o_qn' : out",        range = {          ["end"] = {            character = 12,            line = 10          },          start = {            character = 8,            line = 10          }        },        severity = 2,        source = "vhdl ls"      } },    uri = "file:///home/sgower/Documents/Development/test/vhdl/test1.vhd"  }}
[DEBUG][2024-05-02 15:48:57] .../vim/lsp/rpc.lua:284    "rpc.send"  {  jsonrpc = "2.0",  method = "textDocument/didChange",  params = {    contentChanges = { {        range = {          ["end"] = {            character = 7,            line = 31          },          start = {            character = 6,            line = 31          }        },        rangeLength = 1,        text = ""      }, {        range = {          ["end"] = {            character = 6,            line = 31          },          start = {            character = 5,            line = 31          }        },        rangeLength = 1,        text = ""      }, {        range = {          ["end"] = {            character = 5,            line = 31          },          start = {            character = 4,            line = 31          }        },        rangeLength = 1,        text = ""      }, {        range = {          ["end"] = {            character = 4,            line = 31          },          start = {            character = 4,            line = 31          }        },        rangeLength = 0,        text = "o"      }, {        range = {          ["end"] = {            character = 5,            line = 31          },          start = {            character = 5,            line = 31          }        },        rangeLength = 0,        text = "_"      }, {        range = {          ["end"] = {            character = 6,            line = 31          },          start = {            character = 6,            line = 31          }        },        rangeLength = 0,        text = "q"      }, {        range = {          ["end"] = {            character = 7,            line = 31          },          start = {            character = 7,            line = 31          }        },        rangeLength = 0,        text = "n"      } },    textDocument = {      uri = "file:///home/sgower/Documents/Development/test/vhdl/test1.vhd",      version = 20    }  }}
[DEBUG][2024-05-02 15:48:57] .../lua/vim/lsp.lua:1391   "LSP[vhdl_ls]"  "client.request"    1   "completionItem/resolve"    {  data = 279172874245,  detail = "port 'o_qn' : out",  insertText = "o_qn",  kind = 23,  label = "o_qn"}   <function 1>    1
[DEBUG][2024-05-02 15:48:57] .../vim/lsp/rpc.lua:284    "rpc.send"  {  id = 6,  jsonrpc = "2.0",  method = "completionItem/resolve",  params = {    data = 279172874245,    detail = "port 'o_qn' : out",    insertText = "o_qn",    kind = 23,    label = "o_qn"  }}
[DEBUG][2024-05-02 15:48:57] .../vim/lsp/rpc.lua:387    "rpc.receive"   {  jsonrpc = "2.0",  method = "textDocument/publishDiagnostics",  params = {    diagnostics = { {        code = "syntax_error",        message = "Expected '<=' or ';'",        range = {          ["end"] = {            character = 7,            line = 119          },          start = {            character = 7,            line = 119          }        },        severity = 1,        source = "vhdl ls"      }, {        code = "unnecessary_work_library",        message = "Library clause not necessary for current working library",        range = {          ["end"] = {            character = 12,            line = 27          },          start = {            character = 8,            line = 27          }        },        severity = 2,        source = "vhdl ls"      }, {        code = "unused",        message = "Unused declaration of port 'i_d' : in",        range = {          ["end"] = {            character = 11,            line = 56          },          start = {            character = 8,            line = 56          }        },        severity = 2,        source = "vhdl ls"      }, {        code = "unused",        message = "Unused declaration of port 'i_c' : in",        range = {          ["end"] = {            character = 11,            line = 43          },          start = {            character = 8,            line = 43          }        },        severity = 2,        source = "vhdl ls"      } },    uri = "file:///home/sgower/Documents/Development/test/vhdl/test.vhd"  }}
[DEBUG][2024-05-02 15:48:57] .../vim/lsp/rpc.lua:387    "rpc.receive"   {  jsonrpc = "2.0",  method = "textDocument/publishDiagnostics",  params = {    diagnostics = { {        code = "syntax_error",        message = "Expected '<=' or ';'",        range = {          ["end"] = {            character = 8,            line = 31          },          start = {            character = 8,            line = 31          }        },        severity = 1,        source = "vhdl ls"      }, {        code = "unused",        message = "Unused declaration of port 'o_qn' : out",        range = {          ["end"] = {            character = 12,            line = 10          },          start = {            character = 8,            line = 10          }        },        severity = 2,        source = "vhdl ls"      } },    uri = "file:///home/sgower/Documents/Development/test/vhdl/test1.vhd"  }}
[ERROR][2024-05-02 15:48:57] .../vim/lsp/rpc.lua:734    "rpc"   "/home/sgower/.local/share/nvim/mason/bin/vhdl_ls"  "stderr"    "thread 'main' panicked at vhdl_lang/src/named_entity/arena.rs:113:32:\nno entry found for key\nnote: run with `RUST_BACKTRACE=1` environment variable to display a backtrace\n"

Seems to be pointing to an error related the the dict not having a key here https://github.com/VHDL-LS/rust_hdl/blob/56f17db69a56b0e094667a9da83fb17cd20922c2/vhdl_lang/src/named_entity/arena.rs#L113

Schottkyc137 commented 5 months ago

Is the bug reproducible? I.e., does what you describe always happen? I cannot reproduce the bug on VSCode, could that be something that only affects Neovim? (Not trying to shift the blame to somewhere else, just trying to reproduce it)

SethGower commented 4 months ago

I was able to reproduce this consistently, given the example I put in the issue. Maybe it could be a neovim thing? I can try in VSCode, however I don't use that much, so I'll need to get it setup

SethGower commented 4 months ago

I was unable to get it to reproduce in VSCode, however as I said, I can consistently get it to reproduce in neovim.

It would be hard to isolate where the issue resides (in the client vs server) since the feature that seems to be causing the issue was adding in v0.80. However, I just tried backing up my plugin environment to before I updated a lot of plugins in neovim on Thursday (which I think I did before experiencing this bug) to see if that solves it. When I had all of the old versions of the plugins loaded, I still get the issue.

SethGower commented 4 months ago

Digging more, and I noticed that it doesn't seem to be happening when I use the minimal_init.lua that nvim-lspconfig provides, when using the "Omnifunc" completion. So this could be related to my autocompletion setup? I'll dig more

SethGower commented 4 months ago

Quick update: The panic doesn't happen when selecting a completion candidate when filling a port map of an instantiation.

So if in another module I were instantiating my example entity described above, if I typed o_| (where | is my cursor), it would give me options including o_q and o_qn, if I hit Tab to select one, it doesn't crash. However if I try to select a signal/port within the same module, it does crash.

SethGower commented 4 months ago

Did some digging with the above observation. Here's the log for when I first try and complete a port in the port map when instantiating an object (which succeeds), then I try to associate it with a completion to a local signal/port (which fails)

:LspLog output for both completions (good and then bad) ``` [START][2024-05-10 11:50:11] LSP logging initiated [INFO][2024-05-10 11:50:11] .../vim/lsp/rpc.lua:662 "Starting RPC client" { args = {}, cmd = "/home/sgower/.local/share/nvim/mason/bin/vhdl_ls", extra = { cwd = "/home/sgower/Documents/Development/test/vhdl" }} [DEBUG][2024-05-10 11:50:11] .../vim/lsp/rpc.lua:284 "rpc.send" { id = 1, jsonrpc = "2.0", method = "initialize", params = { capabilities = { textDocument = { callHierarchy = { dynamicRegistration = false }, codeAction = { codeActionLiteralSupport = { codeActionKind = { valueSet = { "", "quickfix", "refactor", "refactor.extract", "refactor.inline", "refactor.rewrite", "source", "source.organizeImports" } } }, dataSupport = true, dynamicRegistration = false, isPreferredSupport = true, resolveSupport = { properties = { "edit" } } }, completion = { completionItem = { commitCharactersSupport = false, deprecatedSupport = false, documentationFormat = { "markdown", "plaintext" }, preselectSupport = false, resolveSupport = { properties = { "documentation", "detail", "additionalTextEdits" } }, snippetSupport = true }, completionItemKind = { valueSet = { 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25 } }, contextSupport = false, dynamicRegistration = false }, declaration = { linkSupport = true }, definition = { linkSupport = true }, documentHighlight = { dynamicRegistration = false }, documentSymbol = { dynamicRegistration = false, hierarchicalDocumentSymbolSupport = true, symbolKind = { valueSet = { 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26 } } }, hover = { contentFormat = { "markdown", "plaintext" }, dynamicRegistration = false }, implementation = { linkSupport = true }, publishDiagnostics = { relatedInformation = true, tagSupport = { valueSet = { 1, 2 } } }, references = { dynamicRegistration = false }, rename = { dynamicRegistration = false, prepareSupport = true }, semanticTokens = { augmentsSyntaxTokens = true, dynamicRegistration = false, formats = { "relative" }, multilineTokenSupport = false, overlappingTokenSupport = true, requests = { full = { delta = true }, range = false }, serverCancelSupport = false, tokenModifiers = { "declaration", "definition", "readonly", "static", "deprecated", "abstract", "async", "modification", "documentation", "defaultLibrary" }, tokenTypes = { "namespace", "type", "class", "enum", "interface", "struct", "typeParameter", "parameter", "variable", "property", "enumMember", "event", "function", "method", "macro", "keyword", "modifier", "comment", "string", "number", "regexp", "operator", "decorator" } }, signatureHelp = { dynamicRegistration = false, signatureInformation = { activeParameterSupport = true, documentationFormat = { "markdown", "plaintext" }, parameterInformation = { labelOffsetSupport = true } } }, synchronization = { didSave = true, dynamicRegistration = false, willSave = true, willSaveWaitUntil = true }, typeDefinition = { linkSupport = true } }, window = { showDocument = { support = true }, showMessage = { messageActionItem = { additionalPropertiesSupport = false } }, workDoneProgress = true }, workspace = { applyEdit = true, configuration = true, didChangeWatchedFiles = { dynamicRegistration = false, relativePatternSupport = true }, semanticTokens = { refreshSupport = true }, symbol = { dynamicRegistration = false, hierarchicalWorkspaceSymbolSupport = true, symbolKind = { valueSet = { 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26 } } }, workspaceEdit = { resourceOperations = { "rename", "create", "delete" } }, workspaceFolders = true } }, clientInfo = { name = "Neovim", version = "0.9.5" }, initializationOptions = vim.empty_dict(), processId = 2594612, rootPath = "/home/sgower/Documents/Development/test/vhdl", rootUri = "file:///home/sgower/Documents/Development/test/vhdl", trace = "off", workspaceFolders = { { name = "/home/sgower/Documents/Development/test/vhdl", uri = "file:///home/sgower/Documents/Development/test/vhdl" } } }} [DEBUG][2024-05-10 11:50:11] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "window/logMessage", params = { message = "Loaded Installation configuration file: /home/sgower/.local/share/nvim/mason/packages/rust_hdl/vhdl_ls-x86_64-unknown-linux-musl/bin/../vhdl_libraries/vhdl_ls.toml", type = 4 }} [DEBUG][2024-05-10 11:50:11] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "window/logMessage", params = { message = "Loaded HOME folder configuration file: /home/sgower/.vhdl_ls.toml", type = 4 }} [DEBUG][2024-05-10 11:50:11] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "window/logMessage", params = { message = "Loaded workspace root configuration file: /home/sgower/Documents/Development/test/vhdl/vhdl_ls.toml", type = 4 }} [DEBUG][2024-05-10 11:50:11] .../vim/lsp/rpc.lua:387 "rpc.receive" { id = 1, jsonrpc = "2.0", result = { capabilities = { completionProvider = { completionItem = { labelDetailsSupport = true }, resolveProvider = true, triggerCharacters = { "." } }, declarationProvider = true, definitionProvider = true, documentSymbolProvider = true, hoverProvider = true, implementationProvider = true, referencesProvider = true, renameProvider = { prepareProvider = true }, textDocumentSync = 2, workspaceSymbolProvider = true } }} [INFO][2024-05-10 11:50:11] ...lsp/handlers.lua:539 "Loaded Installation configuration file: /home/sgower/.local/share/nvim/mason/packages/rust_hdl/vhdl_ls-x86_64-unknown-linux-musl/bin/../vhdl_libraries/vhdl_ls.toml" [INFO][2024-05-10 11:50:11] ...lsp/handlers.lua:539 "Loaded HOME folder configuration file: /home/sgower/.vhdl_ls.toml" [INFO][2024-05-10 11:50:11] ...lsp/handlers.lua:539 "Loaded workspace root configuration file: /home/sgower/Documents/Development/test/vhdl/vhdl_ls.toml" [DEBUG][2024-05-10 11:50:11] .../vim/lsp/rpc.lua:284 "rpc.send" { jsonrpc = "2.0", method = "initialized", params = vim.empty_dict()} [INFO][2024-05-10 11:50:11] .../lua/vim/lsp.lua:1344 "LSP[vhdl_ls]" "server_capabilities" { server_capabilities = { completionProvider = { completionItem = { labelDetailsSupport = true }, resolveProvider = true, triggerCharacters = { "." } }, declarationProvider = true, definitionProvider = true, documentSymbolProvider = true, hoverProvider = true, implementationProvider = true, referencesProvider = true, renameProvider = { prepareProvider = true }, textDocumentSync = { change = 2, openClose = true, save = { includeText = false }, willSave = false, willSaveWaitUntil = false }, workspaceSymbolProvider = true }} [DEBUG][2024-05-10 11:50:11] .../vim/lsp/rpc.lua:284 "rpc.send" { jsonrpc = "2.0", method = "textDocument/didOpen", params = { textDocument = { languageId = "vhdl", text = "library ieee;\n use ieee.std_logic_1164.all;\n use ieee.numeric_std.all;\n\nentity test2 is\n port (\n i_clk : in std_logic;\n i_switches : in std_logic_vector(7 downto 0);\n o_leds : out std_logic_vector(7 downto 0)\n );\nend entity test2;\n\narchitecture behav of test2 is\n\n signal s_leds_not : std_logic_vector(7 downto 0);\n\nbegin\n\n dff_generate : for i in 0 to 7 generate\n\n test1_0 : entity work.test1\n port map (\n i_clk => i_clk,\n i_rstn => '1',\n i_d => i_switches(i),\n o_q => o_leds(i)\n );\n\n end generate dff_generate;\n\nend architecture behav;\n", uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd", version = 0 } }} [DEBUG][2024-05-10 11:50:11] .../vim/lsp/rpc.lua:284 "rpc.send" { jsonrpc = "2.0", method = "workspace/didChangeConfiguration", params = { settings = vim.empty_dict() }} [DEBUG][2024-05-10 11:50:12] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "syntax_error", message = "Expected '<=' or ';'", range = { ["end"] = { character = 7, line = 119 }, start = { character = 7, line = 119 } }, severity = 1, source = "vhdl ls" }, { code = "unnecessary_work_library", message = "Library clause not necessary for current working library", range = { ["end"] = { character = 12, line = 27 }, start = { character = 8, line = 27 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_c' : in", range = { ["end"] = { character = 11, line = 43 }, start = { character = 8, line = 43 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_d' : in", range = { ["end"] = { character = 11, line = 56 }, start = { character = 8, line = 56 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test.vhd" }} [DEBUG][2024-05-10 11:50:12] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "unused", message = "Unused declaration of signal 's_leds_not'", range = { ["end"] = { character = 21, line = 14 }, start = { character = 11, line = 14 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd" }} [DEBUG][2024-05-10 11:50:12] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "unused", message = "Unused declaration of port 'o_qn' : out", range = { ["end"] = { character = 12, line = 10 }, start = { character = 8, line = 10 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test1.vhd" }} [DEBUG][2024-05-10 11:50:12] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "syntax_error", message = "Expected '<=' or ';'", range = { ["end"] = { character = 7, line = 119 }, start = { character = 7, line = 119 } }, severity = 1, source = "vhdl ls" }, { code = "unnecessary_work_library", message = "Library clause not necessary for current working library", range = { ["end"] = { character = 12, line = 27 }, start = { character = 8, line = 27 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_c' : in", range = { ["end"] = { character = 11, line = 43 }, start = { character = 8, line = 43 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_d' : in", range = { ["end"] = { character = 11, line = 56 }, start = { character = 8, line = 56 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test.vhd" }} [DEBUG][2024-05-10 11:50:12] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "unused", message = "Unused declaration of signal 's_leds_not'", range = { ["end"] = { character = 21, line = 14 }, start = { character = 11, line = 14 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd" }} [DEBUG][2024-05-10 11:50:12] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "unused", message = "Unused declaration of port 'o_qn' : out", range = { ["end"] = { character = 12, line = 10 }, start = { character = 8, line = 10 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test1.vhd" }} [DEBUG][2024-05-10 11:50:20] .../vim/lsp/rpc.lua:284 "rpc.send" { jsonrpc = "2.0", method = "textDocument/didChange", params = { contentChanges = { { range = { ["end"] = { character = 35, line = 25 }, start = { character = 35, line = 25 } }, rangeLength = 0, text = "," } }, textDocument = { uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd", version = 3 } }} [DEBUG][2024-05-10 11:50:20] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "syntax_error", message = "Expected {expression}", range = { ["end"] = { character = 36, line = 25 }, start = { character = 36, line = 25 } }, severity = 1, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of signal 's_leds_not'", range = { ["end"] = { character = 21, line = 14 }, start = { character = 11, line = 14 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd" }} [DEBUG][2024-05-10 11:50:20] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "syntax_error", message = "Expected '<=' or ';'", range = { ["end"] = { character = 7, line = 119 }, start = { character = 7, line = 119 } }, severity = 1, source = "vhdl ls" }, { code = "unnecessary_work_library", message = "Library clause not necessary for current working library", range = { ["end"] = { character = 12, line = 27 }, start = { character = 8, line = 27 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_c' : in", range = { ["end"] = { character = 11, line = 43 }, start = { character = 8, line = 43 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_d' : in", range = { ["end"] = { character = 11, line = 56 }, start = { character = 8, line = 56 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test.vhd" }} [DEBUG][2024-05-10 11:50:20] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "unused", message = "Unused declaration of port 'o_qn' : out", range = { ["end"] = { character = 12, line = 10 }, start = { character = 8, line = 10 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test1.vhd" }} [DEBUG][2024-05-10 11:50:20] .../vim/lsp/rpc.lua:284 "rpc.send" { jsonrpc = "2.0", method = "textDocument/didChange", params = { contentChanges = { { range = { ["end"] = { character = 36, line = 25 }, start = { character = 36, line = 25 } }, rangeLength = 0, text = "\n " } }, textDocument = { uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd", version = 4 } }} [DEBUG][2024-05-10 11:50:20] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "syntax_error", message = "Expected {expression}", range = { ["end"] = { character = 36, line = 25 }, start = { character = 36, line = 25 } }, severity = 1, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of signal 's_leds_not'", range = { ["end"] = { character = 21, line = 14 }, start = { character = 11, line = 14 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd" }} [DEBUG][2024-05-10 11:50:20] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "syntax_error", message = "Expected '<=' or ';'", range = { ["end"] = { character = 7, line = 119 }, start = { character = 7, line = 119 } }, severity = 1, source = "vhdl ls" }, { code = "unnecessary_work_library", message = "Library clause not necessary for current working library", range = { ["end"] = { character = 12, line = 27 }, start = { character = 8, line = 27 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_c' : in", range = { ["end"] = { character = 11, line = 43 }, start = { character = 8, line = 43 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_d' : in", range = { ["end"] = { character = 11, line = 56 }, start = { character = 8, line = 56 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test.vhd" }} [DEBUG][2024-05-10 11:50:20] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "unused", message = "Unused declaration of port 'o_qn' : out", range = { ["end"] = { character = 12, line = 10 }, start = { character = 8, line = 10 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test1.vhd" }} [DEBUG][2024-05-10 11:50:21] .../vim/lsp/rpc.lua:284 "rpc.send" { jsonrpc = "2.0", method = "textDocument/didChange", params = { contentChanges = { { range = { ["end"] = { character = 16, line = 26 }, start = { character = 16, line = 26 } }, rangeLength = 0, text = "o" } }, textDocument = { uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd", version = 5 } }} [DEBUG][2024-05-10 11:50:21] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "syntax_error", message = "Expected '<=' or ';'", range = { ["end"] = { character = 7, line = 119 }, start = { character = 7, line = 119 } }, severity = 1, source = "vhdl ls" }, { code = "unnecessary_work_library", message = "Library clause not necessary for current working library", range = { ["end"] = { character = 12, line = 27 }, start = { character = 8, line = 27 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_c' : in", range = { ["end"] = { character = 11, line = 43 }, start = { character = 8, line = 43 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_d' : in", range = { ["end"] = { character = 11, line = 56 }, start = { character = 8, line = 56 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test.vhd" }} [DEBUG][2024-05-10 11:50:21] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "named_before_positional", message = "Named arguments are not allowed before positional arguments", range = { ["end"] = { character = 19, line = 25 }, start = { character = 16, line = 25 } }, severity = 1, source = "vhdl ls" }, { code = "named_before_positional", message = "Named arguments are not allowed before positional arguments", range = { ["end"] = { character = 19, line = 24 }, start = { character = 16, line = 24 } }, severity = 1, source = "vhdl ls" }, { code = "named_before_positional", message = "Named arguments are not allowed before positional arguments", range = { ["end"] = { character = 22, line = 23 }, start = { character = 16, line = 23 } }, severity = 1, source = "vhdl ls" }, { code = "named_before_positional", message = "Named arguments are not allowed before positional arguments", range = { ["end"] = { character = 21, line = 22 }, start = { character = 16, line = 22 } }, severity = 1, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of signal 's_leds_not'", range = { ["end"] = { character = 21, line = 14 }, start = { character = 11, line = 14 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_switches' : in", range = { ["end"] = { character = 18, line = 7 }, start = { character = 8, line = 7 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'o_leds' : out", range = { ["end"] = { character = 14, line = 8 }, start = { character = 8, line = 8 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_clk' : in", range = { ["end"] = { character = 13, line = 6 }, start = { character = 8, line = 6 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd" }} [DEBUG][2024-05-10 11:50:21] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "unused", message = "Unused declaration of port 'o_qn' : out", range = { ["end"] = { character = 12, line = 10 }, start = { character = 8, line = 10 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test1.vhd" }} [DEBUG][2024-05-10 11:50:21] .../lua/vim/lsp.lua:1391 "LSP[vhdl_ls]" "client.request" 1 "textDocument/completion" { context = { triggerKind = 1 }, position = { character = 17, line = 26 }, textDocument = { uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd" }} 3 [DEBUG][2024-05-10 11:50:21] .../vim/lsp/rpc.lua:284 "rpc.send" { id = 2, jsonrpc = "2.0", method = "textDocument/completion", params = { context = { triggerKind = 1 }, position = { character = 17, line = 26 }, textDocument = { uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd" } }} [DEBUG][2024-05-10 11:50:21] .../vim/lsp/rpc.lua:387 "rpc.receive" { id = 2, jsonrpc = "2.0", result = { isIncomplete = true, items = { { data = 107374182405, detail = "port 'o_qn' : out", insertText = "o_qn => $1,", insertTextFormat = 2, kind = 23, label = "o_qn" }, { data = 107374182402, detail = "port 'i_rstn' : in", insertText = "i_rstn => $1,", insertTextFormat = 2, kind = 23, label = "i_rstn" }, { data = 107374182401, detail = "port 'i_clk' : in", insertText = "i_clk => $1,", insertTextFormat = 2, kind = 23, label = "i_clk" }, { data = 107374182404, detail = "port 'o_q' : out", insertText = "o_q => $1,", insertTextFormat = 2, kind = 23, label = "o_q" }, { data = 107374182403, detail = "port 'i_d' : in", insertText = "i_d => $1,", insertTextFormat = 2, kind = 23, label = "i_d" } } }} [DEBUG][2024-05-10 11:50:21] .../vim/lsp/rpc.lua:284 "rpc.send" { jsonrpc = "2.0", method = "textDocument/didChange", params = { contentChanges = { { range = { ["end"] = { character = 17, line = 26 }, start = { character = 17, line = 26 } }, rangeLength = 0, text = "_" } }, textDocument = { uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd", version = 6 } }} [DEBUG][2024-05-10 11:50:21] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "syntax_error", message = "Expected '<=' or ';'", range = { ["end"] = { character = 7, line = 119 }, start = { character = 7, line = 119 } }, severity = 1, source = "vhdl ls" }, { code = "unnecessary_work_library", message = "Library clause not necessary for current working library", range = { ["end"] = { character = 12, line = 27 }, start = { character = 8, line = 27 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_c' : in", range = { ["end"] = { character = 11, line = 43 }, start = { character = 8, line = 43 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_d' : in", range = { ["end"] = { character = 11, line = 56 }, start = { character = 8, line = 56 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test.vhd" }} [DEBUG][2024-05-10 11:50:21] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "named_before_positional", message = "Named arguments are not allowed before positional arguments", range = { ["end"] = { character = 19, line = 25 }, start = { character = 16, line = 25 } }, severity = 1, source = "vhdl ls" }, { code = "named_before_positional", message = "Named arguments are not allowed before positional arguments", range = { ["end"] = { character = 19, line = 24 }, start = { character = 16, line = 24 } }, severity = 1, source = "vhdl ls" }, { code = "named_before_positional", message = "Named arguments are not allowed before positional arguments", range = { ["end"] = { character = 22, line = 23 }, start = { character = 16, line = 23 } }, severity = 1, source = "vhdl ls" }, { code = "named_before_positional", message = "Named arguments are not allowed before positional arguments", range = { ["end"] = { character = 21, line = 22 }, start = { character = 16, line = 22 } }, severity = 1, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_clk' : in", range = { ["end"] = { character = 13, line = 6 }, start = { character = 8, line = 6 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_switches' : in", range = { ["end"] = { character = 18, line = 7 }, start = { character = 8, line = 7 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'o_leds' : out", range = { ["end"] = { character = 14, line = 8 }, start = { character = 8, line = 8 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of signal 's_leds_not'", range = { ["end"] = { character = 21, line = 14 }, start = { character = 11, line = 14 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd" }} [DEBUG][2024-05-10 11:50:21] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "unused", message = "Unused declaration of port 'o_qn' : out", range = { ["end"] = { character = 12, line = 10 }, start = { character = 8, line = 10 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test1.vhd" }} [DEBUG][2024-05-10 11:50:21] .../lua/vim/lsp.lua:1391 "LSP[vhdl_ls]" "client.request" 1 "textDocument/completion" { context = { triggerKind = 3 }, position = { character = 18, line = 26 }, textDocument = { uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd" }} 3 [DEBUG][2024-05-10 11:50:21] .../vim/lsp/rpc.lua:284 "rpc.send" { id = 3, jsonrpc = "2.0", method = "textDocument/completion", params = { context = { triggerKind = 3 }, position = { character = 18, line = 26 }, textDocument = { uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd" } }} [DEBUG][2024-05-10 11:50:21] .../vim/lsp/rpc.lua:387 "rpc.receive" { id = 3, jsonrpc = "2.0", result = { isIncomplete = true, items = { { data = 107374182405, detail = "port 'o_qn' : out", insertText = "o_qn => $1,", insertTextFormat = 2, kind = 23, label = "o_qn" }, { data = 107374182402, detail = "port 'i_rstn' : in", insertText = "i_rstn => $1,", insertTextFormat = 2, kind = 23, label = "i_rstn" }, { data = 107374182401, detail = "port 'i_clk' : in", insertText = "i_clk => $1,", insertTextFormat = 2, kind = 23, label = "i_clk" }, { data = 107374182404, detail = "port 'o_q' : out", insertText = "o_q => $1,", insertTextFormat = 2, kind = 23, label = "o_q" }, { data = 107374182403, detail = "port 'i_d' : in", insertText = "i_d => $1,", insertTextFormat = 2, kind = 23, label = "i_d" } } }} [DEBUG][2024-05-10 11:50:22] .../vim/lsp/rpc.lua:284 "rpc.send" { jsonrpc = "2.0", method = "textDocument/didChange", params = { contentChanges = { { range = { ["end"] = { character = 18, line = 26 }, start = { character = 18, line = 26 } }, rangeLength = 0, text = "q" } }, textDocument = { uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd", version = 7 } }} [DEBUG][2024-05-10 11:50:22] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "syntax_error", message = "Expected '<=' or ';'", range = { ["end"] = { character = 7, line = 119 }, start = { character = 7, line = 119 } }, severity = 1, source = "vhdl ls" }, { code = "unnecessary_work_library", message = "Library clause not necessary for current working library", range = { ["end"] = { character = 12, line = 27 }, start = { character = 8, line = 27 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_c' : in", range = { ["end"] = { character = 11, line = 43 }, start = { character = 8, line = 43 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_d' : in", range = { ["end"] = { character = 11, line = 56 }, start = { character = 8, line = 56 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test.vhd" }} [DEBUG][2024-05-10 11:50:22] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "named_before_positional", message = "Named arguments are not allowed before positional arguments", range = { ["end"] = { character = 19, line = 25 }, start = { character = 16, line = 25 } }, severity = 1, source = "vhdl ls" }, { code = "named_before_positional", message = "Named arguments are not allowed before positional arguments", range = { ["end"] = { character = 19, line = 24 }, start = { character = 16, line = 24 } }, severity = 1, source = "vhdl ls" }, { code = "named_before_positional", message = "Named arguments are not allowed before positional arguments", range = { ["end"] = { character = 22, line = 23 }, start = { character = 16, line = 23 } }, severity = 1, source = "vhdl ls" }, { code = "named_before_positional", message = "Named arguments are not allowed before positional arguments", range = { ["end"] = { character = 21, line = 22 }, start = { character = 16, line = 22 } }, severity = 1, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_switches' : in", range = { ["end"] = { character = 18, line = 7 }, start = { character = 8, line = 7 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'o_leds' : out", range = { ["end"] = { character = 14, line = 8 }, start = { character = 8, line = 8 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_clk' : in", range = { ["end"] = { character = 13, line = 6 }, start = { character = 8, line = 6 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of signal 's_leds_not'", range = { ["end"] = { character = 21, line = 14 }, start = { character = 11, line = 14 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd" }} [DEBUG][2024-05-10 11:50:22] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "unused", message = "Unused declaration of port 'o_qn' : out", range = { ["end"] = { character = 12, line = 10 }, start = { character = 8, line = 10 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test1.vhd" }} [DEBUG][2024-05-10 11:50:22] .../lua/vim/lsp.lua:1391 "LSP[vhdl_ls]" "client.request" 1 "textDocument/completion" { context = { triggerKind = 3 }, position = { character = 19, line = 26 }, textDocument = { uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd" }} 3 [DEBUG][2024-05-10 11:50:22] .../vim/lsp/rpc.lua:284 "rpc.send" { id = 4, jsonrpc = "2.0", method = "textDocument/completion", params = { context = { triggerKind = 3 }, position = { character = 19, line = 26 }, textDocument = { uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd" } }} [DEBUG][2024-05-10 11:50:22] .../vim/lsp/rpc.lua:387 "rpc.receive" { id = 4, jsonrpc = "2.0", result = { isIncomplete = true, items = { { data = 107374182405, detail = "port 'o_qn' : out", insertText = "o_qn => $1,", insertTextFormat = 2, kind = 23, label = "o_qn" }, { data = 107374182402, detail = "port 'i_rstn' : in", insertText = "i_rstn => $1,", insertTextFormat = 2, kind = 23, label = "i_rstn" }, { data = 107374182401, detail = "port 'i_clk' : in", insertText = "i_clk => $1,", insertTextFormat = 2, kind = 23, label = "i_clk" }, { data = 107374182404, detail = "port 'o_q' : out", insertText = "o_q => $1,", insertTextFormat = 2, kind = 23, label = "o_q" }, { data = 107374182403, detail = "port 'i_d' : in", insertText = "i_d => $1,", insertTextFormat = 2, kind = 23, label = "i_d" } } }} [DEBUG][2024-05-10 11:50:22] .../vim/lsp/rpc.lua:284 "rpc.send" { jsonrpc = "2.0", method = "textDocument/didChange", params = { contentChanges = { { range = { ["end"] = { character = 19, line = 26 }, start = { character = 18, line = 26 } }, rangeLength = 1, text = "" } }, textDocument = { uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd", version = 8 } }} [DEBUG][2024-05-10 11:50:22] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "syntax_error", message = "Expected '<=' or ';'", range = { ["end"] = { character = 7, line = 119 }, start = { character = 7, line = 119 } }, severity = 1, source = "vhdl ls" }, { code = "unnecessary_work_library", message = "Library clause not necessary for current working library", range = { ["end"] = { character = 12, line = 27 }, start = { character = 8, line = 27 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_c' : in", range = { ["end"] = { character = 11, line = 43 }, start = { character = 8, line = 43 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_d' : in", range = { ["end"] = { character = 11, line = 56 }, start = { character = 8, line = 56 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test.vhd" }} [DEBUG][2024-05-10 11:50:22] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "named_before_positional", message = "Named arguments are not allowed before positional arguments", range = { ["end"] = { character = 19, line = 25 }, start = { character = 16, line = 25 } }, severity = 1, source = "vhdl ls" }, { code = "named_before_positional", message = "Named arguments are not allowed before positional arguments", range = { ["end"] = { character = 19, line = 24 }, start = { character = 16, line = 24 } }, severity = 1, source = "vhdl ls" }, { code = "named_before_positional", message = "Named arguments are not allowed before positional arguments", range = { ["end"] = { character = 22, line = 23 }, start = { character = 16, line = 23 } }, severity = 1, source = "vhdl ls" }, { code = "named_before_positional", message = "Named arguments are not allowed before positional arguments", range = { ["end"] = { character = 21, line = 22 }, start = { character = 16, line = 22 } }, severity = 1, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'o_leds' : out", range = { ["end"] = { character = 14, line = 8 }, start = { character = 8, line = 8 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of signal 's_leds_not'", range = { ["end"] = { character = 21, line = 14 }, start = { character = 11, line = 14 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_clk' : in", range = { ["end"] = { character = 13, line = 6 }, start = { character = 8, line = 6 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_switches' : in", range = { ["end"] = { character = 18, line = 7 }, start = { character = 8, line = 7 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd" }} [DEBUG][2024-05-10 11:50:22] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "unused", message = "Unused declaration of port 'o_qn' : out", range = { ["end"] = { character = 12, line = 10 }, start = { character = 8, line = 10 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test1.vhd" }} [DEBUG][2024-05-10 11:50:22] .../vim/lsp/rpc.lua:284 "rpc.send" { jsonrpc = "2.0", method = "textDocument/didChange", params = { contentChanges = { { range = { ["end"] = { character = 18, line = 26 }, start = { character = 17, line = 26 } }, rangeLength = 1, text = "" }, { range = { ["end"] = { character = 17, line = 26 }, start = { character = 16, line = 26 } }, rangeLength = 1, text = "" }, { range = { ["end"] = { character = 16, line = 26 }, start = { character = 16, line = 26 } }, rangeLength = 0, text = "o" }, { range = { ["end"] = { character = 17, line = 26 }, start = { character = 17, line = 26 } }, rangeLength = 0, text = "_" }, { range = { ["end"] = { character = 18, line = 26 }, start = { character = 18, line = 26 } }, rangeLength = 0, text = "q" } }, textDocument = { uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd", version = 13 } }} [DEBUG][2024-05-10 11:50:22] .../lua/vim/lsp.lua:1391 "LSP[vhdl_ls]" "client.request" 1 "completionItem/resolve" { data = 107374182404, detail = "port 'o_q' : out", insertText = "o_q => $1,", insertTextFormat = 2, kind = 23, label = "o_q"} 3 [DEBUG][2024-05-10 11:50:22] .../vim/lsp/rpc.lua:284 "rpc.send" { id = 5, jsonrpc = "2.0", method = "completionItem/resolve", params = { data = 107374182404, detail = "port 'o_q' : out", insertText = "o_q => $1,", insertTextFormat = 2, kind = 23, label = "o_q" }} [DEBUG][2024-05-10 11:50:22] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "syntax_error", message = "Expected '<=' or ';'", range = { ["end"] = { character = 7, line = 119 }, start = { character = 7, line = 119 } }, severity = 1, source = "vhdl ls" }, { code = "unnecessary_work_library", message = "Library clause not necessary for current working library", range = { ["end"] = { character = 12, line = 27 }, start = { character = 8, line = 27 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_c' : in", range = { ["end"] = { character = 11, line = 43 }, start = { character = 8, line = 43 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_d' : in", range = { ["end"] = { character = 11, line = 56 }, start = { character = 8, line = 56 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test.vhd" }} [DEBUG][2024-05-10 11:50:22] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "named_before_positional", message = "Named arguments are not allowed before positional arguments", range = { ["end"] = { character = 19, line = 25 }, start = { character = 16, line = 25 } }, severity = 1, source = "vhdl ls" }, { code = "named_before_positional", message = "Named arguments are not allowed before positional arguments", range = { ["end"] = { character = 19, line = 24 }, start = { character = 16, line = 24 } }, severity = 1, source = "vhdl ls" }, { code = "named_before_positional", message = "Named arguments are not allowed before positional arguments", range = { ["end"] = { character = 22, line = 23 }, start = { character = 16, line = 23 } }, severity = 1, source = "vhdl ls" }, { code = "named_before_positional", message = "Named arguments are not allowed before positional arguments", range = { ["end"] = { character = 21, line = 22 }, start = { character = 16, line = 22 } }, severity = 1, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of signal 's_leds_not'", range = { ["end"] = { character = 21, line = 14 }, start = { character = 11, line = 14 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_switches' : in", range = { ["end"] = { character = 18, line = 7 }, start = { character = 8, line = 7 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'o_leds' : out", range = { ["end"] = { character = 14, line = 8 }, start = { character = 8, line = 8 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_clk' : in", range = { ["end"] = { character = 13, line = 6 }, start = { character = 8, line = 6 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd" }} [DEBUG][2024-05-10 11:50:22] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "unused", message = "Unused declaration of port 'o_qn' : out", range = { ["end"] = { character = 12, line = 10 }, start = { character = 8, line = 10 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test1.vhd" }} [DEBUG][2024-05-10 11:50:22] .../vim/lsp/rpc.lua:387 "rpc.receive" { id = 5, jsonrpc = "2.0", result = { data = 107374182404, detail = "port 'o_q' : out", documentation = { kind = "markdown", value = "```vhdl\nport o_q : out std_logic;\n```" }, insertText = "o_q => $1,", insertTextFormat = 2, kind = 23, label = "o_q" }} [DEBUG][2024-05-10 11:50:22] .../vim/lsp/rpc.lua:284 "rpc.send" { jsonrpc = "2.0", method = "textDocument/didChange", params = { contentChanges = { { range = { ["end"] = { character = 19, line = 26 }, start = { character = 18, line = 26 } }, rangeLength = 1, text = "" } }, textDocument = { uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd", version = 14 } }} [DEBUG][2024-05-10 11:50:22] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "syntax_error", message = "Expected '<=' or ';'", range = { ["end"] = { character = 7, line = 119 }, start = { character = 7, line = 119 } }, severity = 1, source = "vhdl ls" }, { code = "unnecessary_work_library", message = "Library clause not necessary for current working library", range = { ["end"] = { character = 12, line = 27 }, start = { character = 8, line = 27 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_c' : in", range = { ["end"] = { character = 11, line = 43 }, start = { character = 8, line = 43 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_d' : in", range = { ["end"] = { character = 11, line = 56 }, start = { character = 8, line = 56 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test.vhd" }} [DEBUG][2024-05-10 11:50:22] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "named_before_positional", message = "Named arguments are not allowed before positional arguments", range = { ["end"] = { character = 19, line = 25 }, start = { character = 16, line = 25 } }, severity = 1, source = "vhdl ls" }, { code = "named_before_positional", message = "Named arguments are not allowed before positional arguments", range = { ["end"] = { character = 19, line = 24 }, start = { character = 16, line = 24 } }, severity = 1, source = "vhdl ls" }, { code = "named_before_positional", message = "Named arguments are not allowed before positional arguments", range = { ["end"] = { character = 22, line = 23 }, start = { character = 16, line = 23 } }, severity = 1, source = "vhdl ls" }, { code = "named_before_positional", message = "Named arguments are not allowed before positional arguments", range = { ["end"] = { character = 21, line = 22 }, start = { character = 16, line = 22 } }, severity = 1, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_clk' : in", range = { ["end"] = { character = 13, line = 6 }, start = { character = 8, line = 6 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_switches' : in", range = { ["end"] = { character = 18, line = 7 }, start = { character = 8, line = 7 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'o_leds' : out", range = { ["end"] = { character = 14, line = 8 }, start = { character = 8, line = 8 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of signal 's_leds_not'", range = { ["end"] = { character = 21, line = 14 }, start = { character = 11, line = 14 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd" }} [DEBUG][2024-05-10 11:50:22] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "unused", message = "Unused declaration of port 'o_qn' : out", range = { ["end"] = { character = 12, line = 10 }, start = { character = 8, line = 10 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test1.vhd" }} [DEBUG][2024-05-10 11:50:22] .../vim/lsp/rpc.lua:284 "rpc.send" { jsonrpc = "2.0", method = "textDocument/didChange", params = { contentChanges = { { range = { ["end"] = { character = 18, line = 26 }, start = { character = 17, line = 26 } }, rangeLength = 1, text = "" }, { range = { ["end"] = { character = 17, line = 26 }, start = { character = 16, line = 26 } }, rangeLength = 1, text = "" }, { range = { ["end"] = { character = 16, line = 26 }, start = { character = 16, line = 26 } }, rangeLength = 0, text = "o" }, { range = { ["end"] = { character = 17, line = 26 }, start = { character = 17, line = 26 } }, rangeLength = 0, text = "_" }, { range = { ["end"] = { character = 18, line = 26 }, start = { character = 18, line = 26 } }, rangeLength = 0, text = "q" }, { range = { ["end"] = { character = 19, line = 26 }, start = { character = 19, line = 26 } }, rangeLength = 0, text = "n" } }, textDocument = { uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd", version = 20 } }} [DEBUG][2024-05-10 11:50:22] .../lua/vim/lsp.lua:1391 "LSP[vhdl_ls]" "client.request" 1 "completionItem/resolve" { data = 107374182405, detail = "port 'o_qn' : out", insertText = "o_qn => $1,", insertTextFormat = 2, kind = 23, label = "o_qn"} 3 [DEBUG][2024-05-10 11:50:22] .../vim/lsp/rpc.lua:284 "rpc.send" { id = 6, jsonrpc = "2.0", method = "completionItem/resolve", params = { data = 107374182405, detail = "port 'o_qn' : out", insertText = "o_qn => $1,", insertTextFormat = 2, kind = 23, label = "o_qn" }} [DEBUG][2024-05-10 11:50:22] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "syntax_error", message = "Expected '<=' or ';'", range = { ["end"] = { character = 7, line = 119 }, start = { character = 7, line = 119 } }, severity = 1, source = "vhdl ls" }, { code = "unnecessary_work_library", message = "Library clause not necessary for current working library", range = { ["end"] = { character = 12, line = 27 }, start = { character = 8, line = 27 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_c' : in", range = { ["end"] = { character = 11, line = 43 }, start = { character = 8, line = 43 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_d' : in", range = { ["end"] = { character = 11, line = 56 }, start = { character = 8, line = 56 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test.vhd" }} [DEBUG][2024-05-10 11:50:22] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "named_before_positional", message = "Named arguments are not allowed before positional arguments", range = { ["end"] = { character = 19, line = 25 }, start = { character = 16, line = 25 } }, severity = 1, source = "vhdl ls" }, { code = "named_before_positional", message = "Named arguments are not allowed before positional arguments", range = { ["end"] = { character = 19, line = 24 }, start = { character = 16, line = 24 } }, severity = 1, source = "vhdl ls" }, { code = "named_before_positional", message = "Named arguments are not allowed before positional arguments", range = { ["end"] = { character = 22, line = 23 }, start = { character = 16, line = 23 } }, severity = 1, source = "vhdl ls" }, { code = "named_before_positional", message = "Named arguments are not allowed before positional arguments", range = { ["end"] = { character = 21, line = 22 }, start = { character = 16, line = 22 } }, severity = 1, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_switches' : in", range = { ["end"] = { character = 18, line = 7 }, start = { character = 8, line = 7 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'o_leds' : out", range = { ["end"] = { character = 14, line = 8 }, start = { character = 8, line = 8 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_clk' : in", range = { ["end"] = { character = 13, line = 6 }, start = { character = 8, line = 6 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of signal 's_leds_not'", range = { ["end"] = { character = 21, line = 14 }, start = { character = 11, line = 14 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd" }} [DEBUG][2024-05-10 11:50:22] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "unused", message = "Unused declaration of port 'o_qn' : out", range = { ["end"] = { character = 12, line = 10 }, start = { character = 8, line = 10 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test1.vhd" }} [DEBUG][2024-05-10 11:50:22] .../vim/lsp/rpc.lua:387 "rpc.receive" { id = 6, jsonrpc = "2.0", result = { data = 107374182405, detail = "port 'o_qn' : out", documentation = { kind = "markdown", value = "```vhdl\nport o_qn : out std_logic;\n```" }, insertText = "o_qn => $1,", insertTextFormat = 2, kind = 23, label = "o_qn" }} [DEBUG][2024-05-10 11:50:23] .../vim/lsp/rpc.lua:284 "rpc.send" { jsonrpc = "2.0", method = "textDocument/didChange", params = { contentChanges = { { range = { ["end"] = { character = 20, line = 26 }, start = { character = 20, line = 26 } }, rangeLength = 0, text = " " } }, textDocument = { uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd", version = 21 } }} [DEBUG][2024-05-10 11:50:23] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "syntax_error", message = "Expected '<=' or ';'", range = { ["end"] = { character = 7, line = 119 }, start = { character = 7, line = 119 } }, severity = 1, source = "vhdl ls" }, { code = "unnecessary_work_library", message = "Library clause not necessary for current working library", range = { ["end"] = { character = 12, line = 27 }, start = { character = 8, line = 27 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_c' : in", range = { ["end"] = { character = 11, line = 43 }, start = { character = 8, line = 43 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_d' : in", range = { ["end"] = { character = 11, line = 56 }, start = { character = 8, line = 56 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test.vhd" }} [DEBUG][2024-05-10 11:50:23] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "named_before_positional", message = "Named arguments are not allowed before positional arguments", range = { ["end"] = { character = 19, line = 25 }, start = { character = 16, line = 25 } }, severity = 1, source = "vhdl ls" }, { code = "named_before_positional", message = "Named arguments are not allowed before positional arguments", range = { ["end"] = { character = 19, line = 24 }, start = { character = 16, line = 24 } }, severity = 1, source = "vhdl ls" }, { code = "named_before_positional", message = "Named arguments are not allowed before positional arguments", range = { ["end"] = { character = 22, line = 23 }, start = { character = 16, line = 23 } }, severity = 1, source = "vhdl ls" }, { code = "named_before_positional", message = "Named arguments are not allowed before positional arguments", range = { ["end"] = { character = 21, line = 22 }, start = { character = 16, line = 22 } }, severity = 1, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of signal 's_leds_not'", range = { ["end"] = { character = 21, line = 14 }, start = { character = 11, line = 14 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_clk' : in", range = { ["end"] = { character = 13, line = 6 }, start = { character = 8, line = 6 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_switches' : in", range = { ["end"] = { character = 18, line = 7 }, start = { character = 8, line = 7 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'o_leds' : out", range = { ["end"] = { character = 14, line = 8 }, start = { character = 8, line = 8 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd" }} [DEBUG][2024-05-10 11:50:23] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "unused", message = "Unused declaration of port 'o_qn' : out", range = { ["end"] = { character = 12, line = 10 }, start = { character = 8, line = 10 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test1.vhd" }} [DEBUG][2024-05-10 11:50:23] .../vim/lsp/rpc.lua:284 "rpc.send" { jsonrpc = "2.0", method = "textDocument/didChange", params = { contentChanges = { { range = { ["end"] = { character = 21, line = 26 }, start = { character = 21, line = 26 } }, rangeLength = 0, text = " " } }, textDocument = { uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd", version = 22 } }} [DEBUG][2024-05-10 11:50:23] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "syntax_error", message = "Expected '<=' or ';'", range = { ["end"] = { character = 7, line = 119 }, start = { character = 7, line = 119 } }, severity = 1, source = "vhdl ls" }, { code = "unnecessary_work_library", message = "Library clause not necessary for current working library", range = { ["end"] = { character = 12, line = 27 }, start = { character = 8, line = 27 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_c' : in", range = { ["end"] = { character = 11, line = 43 }, start = { character = 8, line = 43 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_d' : in", range = { ["end"] = { character = 11, line = 56 }, start = { character = 8, line = 56 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test.vhd" }} [DEBUG][2024-05-10 11:50:23] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "named_before_positional", message = "Named arguments are not allowed before positional arguments", range = { ["end"] = { character = 19, line = 25 }, start = { character = 16, line = 25 } }, severity = 1, source = "vhdl ls" }, { code = "named_before_positional", message = "Named arguments are not allowed before positional arguments", range = { ["end"] = { character = 19, line = 24 }, start = { character = 16, line = 24 } }, severity = 1, source = "vhdl ls" }, { code = "named_before_positional", message = "Named arguments are not allowed before positional arguments", range = { ["end"] = { character = 22, line = 23 }, start = { character = 16, line = 23 } }, severity = 1, source = "vhdl ls" }, { code = "named_before_positional", message = "Named arguments are not allowed before positional arguments", range = { ["end"] = { character = 21, line = 22 }, start = { character = 16, line = 22 } }, severity = 1, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of signal 's_leds_not'", range = { ["end"] = { character = 21, line = 14 }, start = { character = 11, line = 14 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_switches' : in", range = { ["end"] = { character = 18, line = 7 }, start = { character = 8, line = 7 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'o_leds' : out", range = { ["end"] = { character = 14, line = 8 }, start = { character = 8, line = 8 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_clk' : in", range = { ["end"] = { character = 13, line = 6 }, start = { character = 8, line = 6 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd" }} [DEBUG][2024-05-10 11:50:23] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "unused", message = "Unused declaration of port 'o_qn' : out", range = { ["end"] = { character = 12, line = 10 }, start = { character = 8, line = 10 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test1.vhd" }} [DEBUG][2024-05-10 11:50:23] .../vim/lsp/rpc.lua:284 "rpc.send" { jsonrpc = "2.0", method = "textDocument/didChange", params = { contentChanges = { { range = { ["end"] = { character = 22, line = 26 }, start = { character = 22, line = 26 } }, rangeLength = 0, text = "=" } }, textDocument = { uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd", version = 23 } }} [DEBUG][2024-05-10 11:50:23] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "syntax_error", message = "Expected {expression}", range = { ["end"] = { character = 23, line = 26 }, start = { character = 23, line = 26 } }, severity = 1, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of signal 's_leds_not'", range = { ["end"] = { character = 21, line = 14 }, start = { character = 11, line = 14 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd" }} [DEBUG][2024-05-10 11:50:23] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "syntax_error", message = "Expected '<=' or ';'", range = { ["end"] = { character = 7, line = 119 }, start = { character = 7, line = 119 } }, severity = 1, source = "vhdl ls" }, { code = "unnecessary_work_library", message = "Library clause not necessary for current working library", range = { ["end"] = { character = 12, line = 27 }, start = { character = 8, line = 27 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_c' : in", range = { ["end"] = { character = 11, line = 43 }, start = { character = 8, line = 43 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_d' : in", range = { ["end"] = { character = 11, line = 56 }, start = { character = 8, line = 56 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test.vhd" }} [DEBUG][2024-05-10 11:50:23] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "unused", message = "Unused declaration of port 'o_qn' : out", range = { ["end"] = { character = 12, line = 10 }, start = { character = 8, line = 10 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test1.vhd" }} [DEBUG][2024-05-10 11:50:24] .../vim/lsp/rpc.lua:284 "rpc.send" { jsonrpc = "2.0", method = "textDocument/didChange", params = { contentChanges = { { range = { ["end"] = { character = 23, line = 26 }, start = { character = 22, line = 26 } }, rangeLength = 1, text = "" } }, textDocument = { uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd", version = 24 } }} [DEBUG][2024-05-10 11:50:24] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "syntax_error", message = "Expected '<=' or ';'", range = { ["end"] = { character = 7, line = 119 }, start = { character = 7, line = 119 } }, severity = 1, source = "vhdl ls" }, { code = "unnecessary_work_library", message = "Library clause not necessary for current working library", range = { ["end"] = { character = 12, line = 27 }, start = { character = 8, line = 27 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_c' : in", range = { ["end"] = { character = 11, line = 43 }, start = { character = 8, line = 43 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_d' : in", range = { ["end"] = { character = 11, line = 56 }, start = { character = 8, line = 56 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test.vhd" }} [DEBUG][2024-05-10 11:50:24] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "named_before_positional", message = "Named arguments are not allowed before positional arguments", range = { ["end"] = { character = 19, line = 25 }, start = { character = 16, line = 25 } }, severity = 1, source = "vhdl ls" }, { code = "named_before_positional", message = "Named arguments are not allowed before positional arguments", range = { ["end"] = { character = 19, line = 24 }, start = { character = 16, line = 24 } }, severity = 1, source = "vhdl ls" }, { code = "named_before_positional", message = "Named arguments are not allowed before positional arguments", range = { ["end"] = { character = 22, line = 23 }, start = { character = 16, line = 23 } }, severity = 1, source = "vhdl ls" }, { code = "named_before_positional", message = "Named arguments are not allowed before positional arguments", range = { ["end"] = { character = 21, line = 22 }, start = { character = 16, line = 22 } }, severity = 1, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_switches' : in", range = { ["end"] = { character = 18, line = 7 }, start = { character = 8, line = 7 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'o_leds' : out", range = { ["end"] = { character = 14, line = 8 }, start = { character = 8, line = 8 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_clk' : in", range = { ["end"] = { character = 13, line = 6 }, start = { character = 8, line = 6 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of signal 's_leds_not'", range = { ["end"] = { character = 21, line = 14 }, start = { character = 11, line = 14 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd" }} [DEBUG][2024-05-10 11:50:24] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "unused", message = "Unused declaration of port 'o_qn' : out", range = { ["end"] = { character = 12, line = 10 }, start = { character = 8, line = 10 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test1.vhd" }} [DEBUG][2024-05-10 11:50:24] .../vim/lsp/rpc.lua:284 "rpc.send" { jsonrpc = "2.0", method = "textDocument/didChange", params = { contentChanges = { { range = { ["end"] = { character = 22, line = 26 }, start = { character = 22, line = 26 } }, rangeLength = 0, text = " " } }, textDocument = { uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd", version = 25 } }} [DEBUG][2024-05-10 11:50:24] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "syntax_error", message = "Expected '<=' or ';'", range = { ["end"] = { character = 7, line = 119 }, start = { character = 7, line = 119 } }, severity = 1, source = "vhdl ls" }, { code = "unnecessary_work_library", message = "Library clause not necessary for current working library", range = { ["end"] = { character = 12, line = 27 }, start = { character = 8, line = 27 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_c' : in", range = { ["end"] = { character = 11, line = 43 }, start = { character = 8, line = 43 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_d' : in", range = { ["end"] = { character = 11, line = 56 }, start = { character = 8, line = 56 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test.vhd" }} [DEBUG][2024-05-10 11:50:24] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "named_before_positional", message = "Named arguments are not allowed before positional arguments", range = { ["end"] = { character = 19, line = 25 }, start = { character = 16, line = 25 } }, severity = 1, source = "vhdl ls" }, { code = "named_before_positional", message = "Named arguments are not allowed before positional arguments", range = { ["end"] = { character = 19, line = 24 }, start = { character = 16, line = 24 } }, severity = 1, source = "vhdl ls" }, { code = "named_before_positional", message = "Named arguments are not allowed before positional arguments", range = { ["end"] = { character = 22, line = 23 }, start = { character = 16, line = 23 } }, severity = 1, source = "vhdl ls" }, { code = "named_before_positional", message = "Named arguments are not allowed before positional arguments", range = { ["end"] = { character = 21, line = 22 }, start = { character = 16, line = 22 } }, severity = 1, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'o_leds' : out", range = { ["end"] = { character = 14, line = 8 }, start = { character = 8, line = 8 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of signal 's_leds_not'", range = { ["end"] = { character = 21, line = 14 }, start = { character = 11, line = 14 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_clk' : in", range = { ["end"] = { character = 13, line = 6 }, start = { character = 8, line = 6 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_switches' : in", range = { ["end"] = { character = 18, line = 7 }, start = { character = 8, line = 7 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd" }} [DEBUG][2024-05-10 11:50:24] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "unused", message = "Unused declaration of port 'o_qn' : out", range = { ["end"] = { character = 12, line = 10 }, start = { character = 8, line = 10 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test1.vhd" }} [DEBUG][2024-05-10 11:50:24] .../vim/lsp/rpc.lua:284 "rpc.send" { jsonrpc = "2.0", method = "textDocument/didChange", params = { contentChanges = { { range = { ["end"] = { character = 23, line = 26 }, start = { character = 23, line = 26 } }, rangeLength = 0, text = "=" } }, textDocument = { uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd", version = 26 } }} [DEBUG][2024-05-10 11:50:24] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "syntax_error", message = "Expected {expression}", range = { ["end"] = { character = 24, line = 26 }, start = { character = 24, line = 26 } }, severity = 1, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of signal 's_leds_not'", range = { ["end"] = { character = 21, line = 14 }, start = { character = 11, line = 14 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd" }} [DEBUG][2024-05-10 11:50:24] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "syntax_error", message = "Expected '<=' or ';'", range = { ["end"] = { character = 7, line = 119 }, start = { character = 7, line = 119 } }, severity = 1, source = "vhdl ls" }, { code = "unnecessary_work_library", message = "Library clause not necessary for current working library", range = { ["end"] = { character = 12, line = 27 }, start = { character = 8, line = 27 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_c' : in", range = { ["end"] = { character = 11, line = 43 }, start = { character = 8, line = 43 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_d' : in", range = { ["end"] = { character = 11, line = 56 }, start = { character = 8, line = 56 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test.vhd" }} [DEBUG][2024-05-10 11:50:24] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "unused", message = "Unused declaration of port 'o_qn' : out", range = { ["end"] = { character = 12, line = 10 }, start = { character = 8, line = 10 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test1.vhd" }} [DEBUG][2024-05-10 11:50:24] .../vim/lsp/rpc.lua:284 "rpc.send" { jsonrpc = "2.0", method = "textDocument/didChange", params = { contentChanges = { { range = { ["end"] = { character = 24, line = 26 }, start = { character = 24, line = 26 } }, rangeLength = 0, text = "-" } }, textDocument = { uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd", version = 27 } }} [DEBUG][2024-05-10 11:50:24] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "syntax_error", message = "Expected {expression}", range = { ["end"] = { character = 25, line = 26 }, start = { character = 25, line = 26 } }, severity = 1, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of signal 's_leds_not'", range = { ["end"] = { character = 21, line = 14 }, start = { character = 11, line = 14 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd" }} [DEBUG][2024-05-10 11:50:24] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "syntax_error", message = "Expected '<=' or ';'", range = { ["end"] = { character = 7, line = 119 }, start = { character = 7, line = 119 } }, severity = 1, source = "vhdl ls" }, { code = "unnecessary_work_library", message = "Library clause not necessary for current working library", range = { ["end"] = { character = 12, line = 27 }, start = { character = 8, line = 27 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_c' : in", range = { ["end"] = { character = 11, line = 43 }, start = { character = 8, line = 43 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_d' : in", range = { ["end"] = { character = 11, line = 56 }, start = { character = 8, line = 56 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test.vhd" }} [DEBUG][2024-05-10 11:50:24] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "unused", message = "Unused declaration of port 'o_qn' : out", range = { ["end"] = { character = 12, line = 10 }, start = { character = 8, line = 10 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test1.vhd" }} [DEBUG][2024-05-10 11:50:25] .../vim/lsp/rpc.lua:284 "rpc.send" { jsonrpc = "2.0", method = "textDocument/didChange", params = { contentChanges = { { range = { ["end"] = { character = 25, line = 26 }, start = { character = 24, line = 26 } }, rangeLength = 1, text = "" } }, textDocument = { uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd", version = 28 } }} [DEBUG][2024-05-10 11:50:25] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "syntax_error", message = "Expected {expression}", range = { ["end"] = { character = 24, line = 26 }, start = { character = 24, line = 26 } }, severity = 1, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of signal 's_leds_not'", range = { ["end"] = { character = 21, line = 14 }, start = { character = 11, line = 14 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd" }} [DEBUG][2024-05-10 11:50:25] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "syntax_error", message = "Expected '<=' or ';'", range = { ["end"] = { character = 7, line = 119 }, start = { character = 7, line = 119 } }, severity = 1, source = "vhdl ls" }, { code = "unnecessary_work_library", message = "Library clause not necessary for current working library", range = { ["end"] = { character = 12, line = 27 }, start = { character = 8, line = 27 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_c' : in", range = { ["end"] = { character = 11, line = 43 }, start = { character = 8, line = 43 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_d' : in", range = { ["end"] = { character = 11, line = 56 }, start = { character = 8, line = 56 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test.vhd" }} [DEBUG][2024-05-10 11:50:25] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "unused", message = "Unused declaration of port 'o_qn' : out", range = { ["end"] = { character = 12, line = 10 }, start = { character = 8, line = 10 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test1.vhd" }} [DEBUG][2024-05-10 11:50:25] .../vim/lsp/rpc.lua:284 "rpc.send" { jsonrpc = "2.0", method = "textDocument/didChange", params = { contentChanges = { { range = { ["end"] = { character = 24, line = 26 }, start = { character = 24, line = 26 } }, rangeLength = 0, text = ">" } }, textDocument = { uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd", version = 29 } }} [DEBUG][2024-05-10 11:50:25] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "syntax_error", message = "Expected {expression}", range = { ["end"] = { character = 25, line = 26 }, start = { character = 25, line = 26 } }, severity = 1, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of signal 's_leds_not'", range = { ["end"] = { character = 21, line = 14 }, start = { character = 11, line = 14 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd" }} [DEBUG][2024-05-10 11:50:25] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "syntax_error", message = "Expected '<=' or ';'", range = { ["end"] = { character = 7, line = 119 }, start = { character = 7, line = 119 } }, severity = 1, source = "vhdl ls" }, { code = "unnecessary_work_library", message = "Library clause not necessary for current working library", range = { ["end"] = { character = 12, line = 27 }, start = { character = 8, line = 27 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_c' : in", range = { ["end"] = { character = 11, line = 43 }, start = { character = 8, line = 43 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_d' : in", range = { ["end"] = { character = 11, line = 56 }, start = { character = 8, line = 56 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test.vhd" }} [DEBUG][2024-05-10 11:50:25] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "unused", message = "Unused declaration of port 'o_qn' : out", range = { ["end"] = { character = 12, line = 10 }, start = { character = 8, line = 10 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test1.vhd" }} [DEBUG][2024-05-10 11:50:25] .../vim/lsp/rpc.lua:284 "rpc.send" { jsonrpc = "2.0", method = "textDocument/didChange", params = { contentChanges = { { range = { ["end"] = { character = 25, line = 26 }, start = { character = 25, line = 26 } }, rangeLength = 0, text = " " } }, textDocument = { uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd", version = 30 } }} [DEBUG][2024-05-10 11:50:25] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "syntax_error", message = "Expected {expression}", range = { ["end"] = { character = 25, line = 26 }, start = { character = 25, line = 26 } }, severity = 1, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of signal 's_leds_not'", range = { ["end"] = { character = 21, line = 14 }, start = { character = 11, line = 14 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd" }} [DEBUG][2024-05-10 11:50:25] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "syntax_error", message = "Expected '<=' or ';'", range = { ["end"] = { character = 7, line = 119 }, start = { character = 7, line = 119 } }, severity = 1, source = "vhdl ls" }, { code = "unnecessary_work_library", message = "Library clause not necessary for current working library", range = { ["end"] = { character = 12, line = 27 }, start = { character = 8, line = 27 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_c' : in", range = { ["end"] = { character = 11, line = 43 }, start = { character = 8, line = 43 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_d' : in", range = { ["end"] = { character = 11, line = 56 }, start = { character = 8, line = 56 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test.vhd" }} [DEBUG][2024-05-10 11:50:25] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "unused", message = "Unused declaration of port 'o_qn' : out", range = { ["end"] = { character = 12, line = 10 }, start = { character = 8, line = 10 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test1.vhd" }} [DEBUG][2024-05-10 11:50:26] .../vim/lsp/rpc.lua:284 "rpc.send" { jsonrpc = "2.0", method = "textDocument/didChange", params = { contentChanges = { { range = { ["end"] = { character = 26, line = 26 }, start = { character = 26, line = 26 } }, rangeLength = 0, text = "o" } }, textDocument = { uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd", version = 31 } }} [DEBUG][2024-05-10 11:50:26] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "syntax_error", message = "Expected '<=' or ';'", range = { ["end"] = { character = 7, line = 119 }, start = { character = 7, line = 119 } }, severity = 1, source = "vhdl ls" }, { code = "unnecessary_work_library", message = "Library clause not necessary for current working library", range = { ["end"] = { character = 12, line = 27 }, start = { character = 8, line = 27 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_c' : in", range = { ["end"] = { character = 11, line = 43 }, start = { character = 8, line = 43 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_d' : in", range = { ["end"] = { character = 11, line = 56 }, start = { character = 8, line = 56 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test.vhd" }} [DEBUG][2024-05-10 11:50:26] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "unresolved", message = "No declaration of 'o'", range = { ["end"] = { character = 27, line = 26 }, start = { character = 26, line = 26 } }, severity = 1, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of signal 's_leds_not'", range = { ["end"] = { character = 21, line = 14 }, start = { character = 11, line = 14 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd" }} [DEBUG][2024-05-10 11:50:26] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "unused", message = "Unused declaration of port 'o_qn' : out", range = { ["end"] = { character = 12, line = 10 }, start = { character = 8, line = 10 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test1.vhd" }} [DEBUG][2024-05-10 11:50:26] .../lua/vim/lsp.lua:1391 "LSP[vhdl_ls]" "client.request" 1 "textDocument/completion" { context = { triggerKind = 1 }, position = { character = 27, line = 26 }, textDocument = { uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd" }} 3 [DEBUG][2024-05-10 11:50:26] .../vim/lsp/rpc.lua:284 "rpc.send" { id = 7, jsonrpc = "2.0", method = "textDocument/completion", params = { context = { triggerKind = 1 }, position = { character = 27, line = 26 }, textDocument = { uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd" } }} [DEBUG][2024-05-10 11:50:26] .../vim/lsp/rpc.lua:387 "rpc.receive" { id = 7, jsonrpc = "2.0", result = { isIncomplete = true, items = { { data = 403726925826, detail = "signal 's_leds_not'", insertText = "s_leds_not", kind = 23, label = "s_leds_not" }, { data = 399431958529, detail = "port 'i_clk' : in", insertText = "i_clk", kind = 23, label = "i_clk" }, { data = 399431958531, detail = "port 'o_leds' : out", insertText = "o_leds", kind = 23, label = "o_leds" }, { data = 399431958530, detail = "port 'i_switches' : in", insertText = "i_switches", kind = 23, label = "i_switches" } } }} [DEBUG][2024-05-10 11:50:26] .../vim/lsp/rpc.lua:284 "rpc.send" { jsonrpc = "2.0", method = "textDocument/didChange", params = { contentChanges = { { range = { ["end"] = { character = 27, line = 26 }, start = { character = 27, line = 26 } }, rangeLength = 0, text = "_" } }, textDocument = { uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd", version = 32 } }} [DEBUG][2024-05-10 11:50:26] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "syntax_error", message = "Expected '<=' or ';'", range = { ["end"] = { character = 7, line = 119 }, start = { character = 7, line = 119 } }, severity = 1, source = "vhdl ls" }, { code = "unnecessary_work_library", message = "Library clause not necessary for current working library", range = { ["end"] = { character = 12, line = 27 }, start = { character = 8, line = 27 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_c' : in", range = { ["end"] = { character = 11, line = 43 }, start = { character = 8, line = 43 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_d' : in", range = { ["end"] = { character = 11, line = 56 }, start = { character = 8, line = 56 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test.vhd" }} [DEBUG][2024-05-10 11:50:26] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "unresolved", message = "No declaration of 'o_'", range = { ["end"] = { character = 28, line = 26 }, start = { character = 26, line = 26 } }, severity = 1, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of signal 's_leds_not'", range = { ["end"] = { character = 21, line = 14 }, start = { character = 11, line = 14 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd" }} [DEBUG][2024-05-10 11:50:26] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "unused", message = "Unused declaration of port 'o_qn' : out", range = { ["end"] = { character = 12, line = 10 }, start = { character = 8, line = 10 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test1.vhd" }} [DEBUG][2024-05-10 11:50:26] .../lua/vim/lsp.lua:1391 "LSP[vhdl_ls]" "client.request" 1 "textDocument/completion" { context = { triggerKind = 3 }, position = { character = 28, line = 26 }, textDocument = { uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd" }} 3 [DEBUG][2024-05-10 11:50:26] .../vim/lsp/rpc.lua:284 "rpc.send" { id = 8, jsonrpc = "2.0", method = "textDocument/completion", params = { context = { triggerKind = 3 }, position = { character = 28, line = 26 }, textDocument = { uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd" } }} [DEBUG][2024-05-10 11:50:26] .../vim/lsp/rpc.lua:387 "rpc.receive" { id = 8, jsonrpc = "2.0", result = { isIncomplete = true, items = { { data = 412316860418, detail = "signal 's_leds_not'", insertText = "s_leds_not", kind = 23, label = "s_leds_not" }, { data = 408021893121, detail = "port 'i_clk' : in", insertText = "i_clk", kind = 23, label = "i_clk" }, { data = 408021893123, detail = "port 'o_leds' : out", insertText = "o_leds", kind = 23, label = "o_leds" }, { data = 408021893122, detail = "port 'i_switches' : in", insertText = "i_switches", kind = 23, label = "i_switches" } } }} [DEBUG][2024-05-10 11:50:28] .../vim/lsp/rpc.lua:284 "rpc.send" { jsonrpc = "2.0", method = "textDocument/didChange", params = { contentChanges = { { range = { ["end"] = { character = 28, line = 26 }, start = { character = 27, line = 26 } }, rangeLength = 1, text = "" } }, textDocument = { uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd", version = 33 } }} [DEBUG][2024-05-10 11:50:28] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "syntax_error", message = "Expected '<=' or ';'", range = { ["end"] = { character = 7, line = 119 }, start = { character = 7, line = 119 } }, severity = 1, source = "vhdl ls" }, { code = "unnecessary_work_library", message = "Library clause not necessary for current working library", range = { ["end"] = { character = 12, line = 27 }, start = { character = 8, line = 27 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_c' : in", range = { ["end"] = { character = 11, line = 43 }, start = { character = 8, line = 43 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_d' : in", range = { ["end"] = { character = 11, line = 56 }, start = { character = 8, line = 56 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test.vhd" }} [DEBUG][2024-05-10 11:50:28] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "unresolved", message = "No declaration of 'o'", range = { ["end"] = { character = 27, line = 26 }, start = { character = 26, line = 26 } }, severity = 1, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of signal 's_leds_not'", range = { ["end"] = { character = 21, line = 14 }, start = { character = 11, line = 14 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd" }} [DEBUG][2024-05-10 11:50:28] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "unused", message = "Unused declaration of port 'o_qn' : out", range = { ["end"] = { character = 12, line = 10 }, start = { character = 8, line = 10 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test1.vhd" }} [DEBUG][2024-05-10 11:50:28] .../lua/vim/lsp.lua:1391 "LSP[vhdl_ls]" "client.request" 1 "textDocument/completion" { context = { triggerKind = 3 }, position = { character = 27, line = 26 }, textDocument = { uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd" }} 3 [DEBUG][2024-05-10 11:50:28] .../vim/lsp/rpc.lua:284 "rpc.send" { id = 9, jsonrpc = "2.0", method = "textDocument/completion", params = { context = { triggerKind = 3 }, position = { character = 27, line = 26 }, textDocument = { uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd" } }} [DEBUG][2024-05-10 11:50:28] .../vim/lsp/rpc.lua:387 "rpc.receive" { id = 9, jsonrpc = "2.0", result = { isIncomplete = true, items = { { data = 420906795010, detail = "signal 's_leds_not'", insertText = "s_leds_not", kind = 23, label = "s_leds_not" }, { data = 416611827713, detail = "port 'i_clk' : in", insertText = "i_clk", kind = 23, label = "i_clk" }, { data = 416611827715, detail = "port 'o_leds' : out", insertText = "o_leds", kind = 23, label = "o_leds" }, { data = 416611827714, detail = "port 'i_switches' : in", insertText = "i_switches", kind = 23, label = "i_switches" } } }} [DEBUG][2024-05-10 11:50:28] .../vim/lsp/rpc.lua:284 "rpc.send" { jsonrpc = "2.0", method = "textDocument/didChange", params = { contentChanges = { { range = { ["end"] = { character = 27, line = 26 }, start = { character = 26, line = 26 } }, rangeLength = 1, text = "" } }, textDocument = { uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd", version = 34 } }} [DEBUG][2024-05-10 11:50:28] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "syntax_error", message = "Expected {expression}", range = { ["end"] = { character = 25, line = 26 }, start = { character = 25, line = 26 } }, severity = 1, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of signal 's_leds_not'", range = { ["end"] = { character = 21, line = 14 }, start = { character = 11, line = 14 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd" }} [DEBUG][2024-05-10 11:50:28] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "syntax_error", message = "Expected '<=' or ';'", range = { ["end"] = { character = 7, line = 119 }, start = { character = 7, line = 119 } }, severity = 1, source = "vhdl ls" }, { code = "unnecessary_work_library", message = "Library clause not necessary for current working library", range = { ["end"] = { character = 12, line = 27 }, start = { character = 8, line = 27 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_c' : in", range = { ["end"] = { character = 11, line = 43 }, start = { character = 8, line = 43 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_d' : in", range = { ["end"] = { character = 11, line = 56 }, start = { character = 8, line = 56 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test.vhd" }} [DEBUG][2024-05-10 11:50:28] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "unused", message = "Unused declaration of port 'o_qn' : out", range = { ["end"] = { character = 12, line = 10 }, start = { character = 8, line = 10 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test1.vhd" }} [DEBUG][2024-05-10 11:50:28] .../vim/lsp/rpc.lua:284 "rpc.send" { jsonrpc = "2.0", method = "textDocument/didChange", params = { contentChanges = { { range = { ["end"] = { character = 26, line = 26 }, start = { character = 26, line = 26 } }, rangeLength = 0, text = "n" } }, textDocument = { uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd", version = 35 } }} [DEBUG][2024-05-10 11:50:28] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "syntax_error", message = "Expected '<=' or ';'", range = { ["end"] = { character = 7, line = 119 }, start = { character = 7, line = 119 } }, severity = 1, source = "vhdl ls" }, { code = "unnecessary_work_library", message = "Library clause not necessary for current working library", range = { ["end"] = { character = 12, line = 27 }, start = { character = 8, line = 27 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_c' : in", range = { ["end"] = { character = 11, line = 43 }, start = { character = 8, line = 43 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_d' : in", range = { ["end"] = { character = 11, line = 56 }, start = { character = 8, line = 56 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test.vhd" }} [DEBUG][2024-05-10 11:50:28] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "unresolved", message = "No declaration of 'n'", range = { ["end"] = { character = 27, line = 26 }, start = { character = 26, line = 26 } }, severity = 1, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of signal 's_leds_not'", range = { ["end"] = { character = 21, line = 14 }, start = { character = 11, line = 14 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd" }} [DEBUG][2024-05-10 11:50:28] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "unused", message = "Unused declaration of port 'o_qn' : out", range = { ["end"] = { character = 12, line = 10 }, start = { character = 8, line = 10 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test1.vhd" }} [DEBUG][2024-05-10 11:50:28] .../lua/vim/lsp.lua:1391 "LSP[vhdl_ls]" "client.request" 1 "textDocument/completion" { context = { triggerKind = 1 }, position = { character = 27, line = 26 }, textDocument = { uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd" }} 3 [DEBUG][2024-05-10 11:50:28] .../vim/lsp/rpc.lua:284 "rpc.send" { id = 10, jsonrpc = "2.0", method = "textDocument/completion", params = { context = { triggerKind = 1 }, position = { character = 27, line = 26 }, textDocument = { uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd" } }} [DEBUG][2024-05-10 11:50:28] .../vim/lsp/rpc.lua:387 "rpc.receive" { id = 10, jsonrpc = "2.0", result = { isIncomplete = true, items = { { data = 438086664194, detail = "signal 's_leds_not'", insertText = "s_leds_not", kind = 23, label = "s_leds_not" }, { data = 433791696897, detail = "port 'i_clk' : in", insertText = "i_clk", kind = 23, label = "i_clk" }, { data = 433791696899, detail = "port 'o_leds' : out", insertText = "o_leds", kind = 23, label = "o_leds" }, { data = 433791696898, detail = "port 'i_switches' : in", insertText = "i_switches", kind = 23, label = "i_switches" } } }} [DEBUG][2024-05-10 11:50:29] .../vim/lsp/rpc.lua:284 "rpc.send" { jsonrpc = "2.0", method = "textDocument/didChange", params = { contentChanges = { { range = { ["end"] = { character = 27, line = 26 }, start = { character = 27, line = 26 } }, rangeLength = 0, text = "o" } }, textDocument = { uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd", version = 36 } }} [DEBUG][2024-05-10 11:50:29] .../lua/vim/lsp.lua:1391 "LSP[vhdl_ls]" "client.request" 1 "textDocument/completion" { context = { triggerKind = 3 }, position = { character = 28, line = 26 }, textDocument = { uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd" }} 3 [DEBUG][2024-05-10 11:50:29] .../vim/lsp/rpc.lua:284 "rpc.send" { id = 11, jsonrpc = "2.0", method = "textDocument/completion", params = { context = { triggerKind = 3 }, position = { character = 28, line = 26 }, textDocument = { uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd" } }} [DEBUG][2024-05-10 11:50:29] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "syntax_error", message = "Expected '<=' or ';'", range = { ["end"] = { character = 7, line = 119 }, start = { character = 7, line = 119 } }, severity = 1, source = "vhdl ls" }, { code = "unnecessary_work_library", message = "Library clause not necessary for current working library", range = { ["end"] = { character = 12, line = 27 }, start = { character = 8, line = 27 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_c' : in", range = { ["end"] = { character = 11, line = 43 }, start = { character = 8, line = 43 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_d' : in", range = { ["end"] = { character = 11, line = 56 }, start = { character = 8, line = 56 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test.vhd" }} [DEBUG][2024-05-10 11:50:29] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "unresolved", message = "No declaration of 'no'", range = { ["end"] = { character = 28, line = 26 }, start = { character = 26, line = 26 } }, severity = 1, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of signal 's_leds_not'", range = { ["end"] = { character = 21, line = 14 }, start = { character = 11, line = 14 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd" }} [DEBUG][2024-05-10 11:50:29] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "unused", message = "Unused declaration of port 'o_qn' : out", range = { ["end"] = { character = 12, line = 10 }, start = { character = 8, line = 10 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test1.vhd" }} [DEBUG][2024-05-10 11:50:29] .../vim/lsp/rpc.lua:387 "rpc.receive" { id = 11, jsonrpc = "2.0", result = { isIncomplete = true, items = { { data = 446676598786, detail = "signal 's_leds_not'", insertText = "s_leds_not", kind = 23, label = "s_leds_not" }, { data = 442381631489, detail = "port 'i_clk' : in", insertText = "i_clk", kind = 23, label = "i_clk" }, { data = 442381631491, detail = "port 'o_leds' : out", insertText = "o_leds", kind = 23, label = "o_leds" }, { data = 442381631490, detail = "port 'i_switches' : in", insertText = "i_switches", kind = 23, label = "i_switches" } } }} [DEBUG][2024-05-10 11:50:29] .../vim/lsp/rpc.lua:284 "rpc.send" { jsonrpc = "2.0", method = "textDocument/didChange", params = { contentChanges = { { range = { ["end"] = { character = 28, line = 26 }, start = { character = 28, line = 26 } }, rangeLength = 0, text = "t" } }, textDocument = { uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd", version = 37 } }} [DEBUG][2024-05-10 11:50:29] .../lua/vim/lsp.lua:1391 "LSP[vhdl_ls]" "client.request" 1 "textDocument/completion" { context = { triggerKind = 3 }, position = { character = 29, line = 26 }, textDocument = { uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd" }} 3 [DEBUG][2024-05-10 11:50:29] .../vim/lsp/rpc.lua:284 "rpc.send" { id = 12, jsonrpc = "2.0", method = "textDocument/completion", params = { context = { triggerKind = 3 }, position = { character = 29, line = 26 }, textDocument = { uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd" } }} [DEBUG][2024-05-10 11:50:29] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "syntax_error", message = "Expected {expression}", range = { ["end"] = { character = 29, line = 26 }, start = { character = 29, line = 26 } }, severity = 1, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of signal 's_leds_not'", range = { ["end"] = { character = 21, line = 14 }, start = { character = 11, line = 14 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd" }} [DEBUG][2024-05-10 11:50:29] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "syntax_error", message = "Expected '<=' or ';'", range = { ["end"] = { character = 7, line = 119 }, start = { character = 7, line = 119 } }, severity = 1, source = "vhdl ls" }, { code = "unnecessary_work_library", message = "Library clause not necessary for current working library", range = { ["end"] = { character = 12, line = 27 }, start = { character = 8, line = 27 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_c' : in", range = { ["end"] = { character = 11, line = 43 }, start = { character = 8, line = 43 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_d' : in", range = { ["end"] = { character = 11, line = 56 }, start = { character = 8, line = 56 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test.vhd" }} [DEBUG][2024-05-10 11:50:29] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "unused", message = "Unused declaration of port 'o_qn' : out", range = { ["end"] = { character = 12, line = 10 }, start = { character = 8, line = 10 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test1.vhd" }} [DEBUG][2024-05-10 11:50:29] .../vim/lsp/rpc.lua:387 "rpc.receive" { id = 12, jsonrpc = "2.0", result = { isIncomplete = true, items = { { data = 455266533378, detail = "signal 's_leds_not'", insertText = "s_leds_not", kind = 23, label = "s_leds_not" }, { data = 450971566081, detail = "port 'i_clk' : in", insertText = "i_clk", kind = 23, label = "i_clk" }, { data = 450971566083, detail = "port 'o_leds' : out", insertText = "o_leds", kind = 23, label = "o_leds" }, { data = 450971566082, detail = "port 'i_switches' : in", insertText = "i_switches", kind = 23, label = "i_switches" } } }} [DEBUG][2024-05-10 11:50:29] .../vim/lsp/rpc.lua:284 "rpc.send" { jsonrpc = "2.0", method = "textDocument/didChange", params = { contentChanges = { { range = { ["end"] = { character = 29, line = 26 }, start = { character = 29, line = 26 } }, rangeLength = 0, text = " " } }, textDocument = { uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd", version = 38 } }} [DEBUG][2024-05-10 11:50:29] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "syntax_error", message = "Expected {expression}", range = { ["end"] = { character = 29, line = 26 }, start = { character = 29, line = 26 } }, severity = 1, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of signal 's_leds_not'", range = { ["end"] = { character = 21, line = 14 }, start = { character = 11, line = 14 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd" }} [DEBUG][2024-05-10 11:50:29] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "syntax_error", message = "Expected '<=' or ';'", range = { ["end"] = { character = 7, line = 119 }, start = { character = 7, line = 119 } }, severity = 1, source = "vhdl ls" }, { code = "unnecessary_work_library", message = "Library clause not necessary for current working library", range = { ["end"] = { character = 12, line = 27 }, start = { character = 8, line = 27 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_c' : in", range = { ["end"] = { character = 11, line = 43 }, start = { character = 8, line = 43 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_d' : in", range = { ["end"] = { character = 11, line = 56 }, start = { character = 8, line = 56 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test.vhd" }} [DEBUG][2024-05-10 11:50:29] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "unused", message = "Unused declaration of port 'o_qn' : out", range = { ["end"] = { character = 12, line = 10 }, start = { character = 8, line = 10 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test1.vhd" }} [DEBUG][2024-05-10 11:50:29] .../vim/lsp/rpc.lua:284 "rpc.send" { jsonrpc = "2.0", method = "textDocument/didChange", params = { contentChanges = { { range = { ["end"] = { character = 30, line = 26 }, start = { character = 30, line = 26 } }, rangeLength = 0, text = "o" } }, textDocument = { uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd", version = 39 } }} [DEBUG][2024-05-10 11:50:29] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "syntax_error", message = "Expected '<=' or ';'", range = { ["end"] = { character = 7, line = 119 }, start = { character = 7, line = 119 } }, severity = 1, source = "vhdl ls" }, { code = "unnecessary_work_library", message = "Library clause not necessary for current working library", range = { ["end"] = { character = 12, line = 27 }, start = { character = 8, line = 27 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_c' : in", range = { ["end"] = { character = 11, line = 43 }, start = { character = 8, line = 43 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_d' : in", range = { ["end"] = { character = 11, line = 56 }, start = { character = 8, line = 56 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test.vhd" }} [DEBUG][2024-05-10 11:50:29] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "unresolved", message = "No declaration of 'o'", range = { ["end"] = { character = 31, line = 26 }, start = { character = 30, line = 26 } }, severity = 1, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of signal 's_leds_not'", range = { ["end"] = { character = 21, line = 14 }, start = { character = 11, line = 14 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd" }} [DEBUG][2024-05-10 11:50:29] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "unused", message = "Unused declaration of port 'o_qn' : out", range = { ["end"] = { character = 12, line = 10 }, start = { character = 8, line = 10 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test1.vhd" }} [DEBUG][2024-05-10 11:50:29] .../lua/vim/lsp.lua:1391 "LSP[vhdl_ls]" "client.request" 1 "textDocument/completion" { context = { triggerKind = 1 }, position = { character = 31, line = 26 }, textDocument = { uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd" }} 3 [DEBUG][2024-05-10 11:50:29] .../vim/lsp/rpc.lua:284 "rpc.send" { id = 13, jsonrpc = "2.0", method = "textDocument/completion", params = { context = { triggerKind = 1 }, position = { character = 31, line = 26 }, textDocument = { uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd" } }} [DEBUG][2024-05-10 11:50:29] .../vim/lsp/rpc.lua:387 "rpc.receive" { id = 13, jsonrpc = "2.0", result = { isIncomplete = true, items = { { data = 472446402562, detail = "signal 's_leds_not'", insertText = "s_leds_not", kind = 23, label = "s_leds_not" }, { data = 468151435265, detail = "port 'i_clk' : in", insertText = "i_clk", kind = 23, label = "i_clk" }, { data = 468151435267, detail = "port 'o_leds' : out", insertText = "o_leds", kind = 23, label = "o_leds" }, { data = 468151435266, detail = "port 'i_switches' : in", insertText = "i_switches", kind = 23, label = "i_switches" } } }} [DEBUG][2024-05-10 11:50:30] .../vim/lsp/rpc.lua:284 "rpc.send" { jsonrpc = "2.0", method = "textDocument/didChange", params = { contentChanges = { { range = { ["end"] = { character = 31, line = 26 }, start = { character = 31, line = 26 } }, rangeLength = 0, text = "_" } }, textDocument = { uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd", version = 40 } }} [DEBUG][2024-05-10 11:50:30] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "syntax_error", message = "Expected '<=' or ';'", range = { ["end"] = { character = 7, line = 119 }, start = { character = 7, line = 119 } }, severity = 1, source = "vhdl ls" }, { code = "unnecessary_work_library", message = "Library clause not necessary for current working library", range = { ["end"] = { character = 12, line = 27 }, start = { character = 8, line = 27 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_c' : in", range = { ["end"] = { character = 11, line = 43 }, start = { character = 8, line = 43 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_d' : in", range = { ["end"] = { character = 11, line = 56 }, start = { character = 8, line = 56 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test.vhd" }} [DEBUG][2024-05-10 11:50:30] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "unresolved", message = "No declaration of 'o_'", range = { ["end"] = { character = 32, line = 26 }, start = { character = 30, line = 26 } }, severity = 1, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of signal 's_leds_not'", range = { ["end"] = { character = 21, line = 14 }, start = { character = 11, line = 14 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd" }} [DEBUG][2024-05-10 11:50:30] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "unused", message = "Unused declaration of port 'o_qn' : out", range = { ["end"] = { character = 12, line = 10 }, start = { character = 8, line = 10 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test1.vhd" }} [DEBUG][2024-05-10 11:50:30] .../lua/vim/lsp.lua:1391 "LSP[vhdl_ls]" "client.request" 1 "textDocument/completion" { context = { triggerKind = 3 }, position = { character = 32, line = 26 }, textDocument = { uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd" }} 3 [DEBUG][2024-05-10 11:50:30] .../vim/lsp/rpc.lua:284 "rpc.send" { id = 14, jsonrpc = "2.0", method = "textDocument/completion", params = { context = { triggerKind = 3 }, position = { character = 32, line = 26 }, textDocument = { uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd" } }} [DEBUG][2024-05-10 11:50:30] .../vim/lsp/rpc.lua:387 "rpc.receive" { id = 14, jsonrpc = "2.0", result = { isIncomplete = true, items = { { data = 481036337154, detail = "signal 's_leds_not'", insertText = "s_leds_not", kind = 23, label = "s_leds_not" }, { data = 476741369857, detail = "port 'i_clk' : in", insertText = "i_clk", kind = 23, label = "i_clk" }, { data = 476741369859, detail = "port 'o_leds' : out", insertText = "o_leds", kind = 23, label = "o_leds" }, { data = 476741369858, detail = "port 'i_switches' : in", insertText = "i_switches", kind = 23, label = "i_switches" } } }} [DEBUG][2024-05-10 11:50:30] .../vim/lsp/rpc.lua:284 "rpc.send" { jsonrpc = "2.0", method = "textDocument/didChange", params = { contentChanges = { { range = { ["end"] = { character = 32, line = 26 }, start = { character = 31, line = 26 } }, rangeLength = 1, text = "" } }, textDocument = { uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd", version = 41 } }} [DEBUG][2024-05-10 11:50:30] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "syntax_error", message = "Expected '<=' or ';'", range = { ["end"] = { character = 7, line = 119 }, start = { character = 7, line = 119 } }, severity = 1, source = "vhdl ls" }, { code = "unnecessary_work_library", message = "Library clause not necessary for current working library", range = { ["end"] = { character = 12, line = 27 }, start = { character = 8, line = 27 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_c' : in", range = { ["end"] = { character = 11, line = 43 }, start = { character = 8, line = 43 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_d' : in", range = { ["end"] = { character = 11, line = 56 }, start = { character = 8, line = 56 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test.vhd" }} [DEBUG][2024-05-10 11:50:30] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "unresolved", message = "No declaration of 'o'", range = { ["end"] = { character = 31, line = 26 }, start = { character = 30, line = 26 } }, severity = 1, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of signal 's_leds_not'", range = { ["end"] = { character = 21, line = 14 }, start = { character = 11, line = 14 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd" }} [DEBUG][2024-05-10 11:50:30] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "unused", message = "Unused declaration of port 'o_qn' : out", range = { ["end"] = { character = 12, line = 10 }, start = { character = 8, line = 10 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test1.vhd" }} [DEBUG][2024-05-10 11:50:30] .../vim/lsp/rpc.lua:284 "rpc.send" { jsonrpc = "2.0", method = "textDocument/didChange", params = { contentChanges = { { range = { ["end"] = { character = 31, line = 26 }, start = { character = 30, line = 26 } }, rangeLength = 1, text = "" }, { range = { ["end"] = { character = 30, line = 26 }, start = { character = 30, line = 26 } }, rangeLength = 0, text = "o" }, { range = { ["end"] = { character = 31, line = 26 }, start = { character = 31, line = 26 } }, rangeLength = 0, text = "_" }, { range = { ["end"] = { character = 32, line = 26 }, start = { character = 32, line = 26 } }, rangeLength = 0, text = "l" }, { range = { ["end"] = { character = 33, line = 26 }, start = { character = 33, line = 26 } }, rangeLength = 0, text = "e" }, { range = { ["end"] = { character = 34, line = 26 }, start = { character = 34, line = 26 } }, rangeLength = 0, text = "d" }, { range = { ["end"] = { character = 35, line = 26 }, start = { character = 35, line = 26 } }, rangeLength = 0, text = "s" } }, textDocument = { uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd", version = 48 } }} [DEBUG][2024-05-10 11:50:30] .../lua/vim/lsp.lua:1391 "LSP[vhdl_ls]" "client.request" 1 "completionItem/resolve" { data = 476741369859, detail = "port 'o_leds' : out", insertText = "o_leds", kind = 23, label = "o_leds"} 3 [DEBUG][2024-05-10 11:50:30] .../vim/lsp/rpc.lua:284 "rpc.send" { id = 15, jsonrpc = "2.0", method = "completionItem/resolve", params = { data = 476741369859, detail = "port 'o_leds' : out", insertText = "o_leds", kind = 23, label = "o_leds" }} [DEBUG][2024-05-10 11:50:30] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "syntax_error", message = "Expected '<=' or ';'", range = { ["end"] = { character = 7, line = 119 }, start = { character = 7, line = 119 } }, severity = 1, source = "vhdl ls" }, { code = "unnecessary_work_library", message = "Library clause not necessary for current working library", range = { ["end"] = { character = 12, line = 27 }, start = { character = 8, line = 27 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_c' : in", range = { ["end"] = { character = 11, line = 43 }, start = { character = 8, line = 43 } }, severity = 2, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of port 'i_d' : in", range = { ["end"] = { character = 11, line = 56 }, start = { character = 8, line = 56 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test.vhd" }} [DEBUG][2024-05-10 11:50:30] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "type_mismatch", message = "array type 'STD_ULOGIC_VECTOR' does not match subtype 'STD_LOGIC'", range = { ["end"] = { character = 36, line = 26 }, start = { character = 26, line = 26 } }, severity = 1, source = "vhdl ls" }, { code = "unused", message = "Unused declaration of signal 's_leds_not'", range = { ["end"] = { character = 21, line = 14 }, start = { character = 11, line = 14 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test2.vhd" }} [DEBUG][2024-05-10 11:50:30] .../vim/lsp/rpc.lua:387 "rpc.receive" { jsonrpc = "2.0", method = "textDocument/publishDiagnostics", params = { diagnostics = { { code = "unused", message = "Unused declaration of port 'o_qn' : out", range = { ["end"] = { character = 12, line = 10 }, start = { character = 8, line = 10 } }, severity = 2, source = "vhdl ls" } }, uri = "file:///home/sgower/Documents/Development/test/vhdl/test1.vhd" }} [ERROR][2024-05-10 11:50:30] .../vim/lsp/rpc.lua:734 "rpc" "/home/sgower/.local/share/nvim/mason/bin/vhdl_ls" "stderr" "thread 'main' panicked at vhdl_lang/src/named_entity/arena.rs:113:32:\nno entry found for key\nnote: run with `RUST_BACKTRACE=1` environment variable to display a backtrace\n" [START][2024-05-10 11:51:03] LSP logging initiated [INFO][2024-05-10 11:51:03] .../lua/vim/lsp.lua:1875 "exit_handler" {} ```

Here is the contents of test2.vhd (test1.vhd is the module from the original issue report). I realize the association for o_qn is garbage, it's what I typed and I didn't wanna redo the whole test, this still shows the panic properly.

library ieee;
    use ieee.std_logic_1164.all;
    use ieee.numeric_std.all;

entity test2 is
    port (
        i_clk      : in    std_logic;
        i_switches : in    std_logic_vector(7 downto 0);
        o_leds     : out   std_logic_vector(7 downto 0)
    );
end entity test2;

architecture behav of test2 is

    signal s_leds_not : std_logic_vector(7 downto 0);

begin

    dff_generate : for i in 0 to 7 generate

        test1_0 : entity work.test1
            port map (
                i_clk  => i_clk,
                i_rstn => '1',
                i_d    => i_switches(i),
                o_q    => o_leds(i),
                o_qn   => not o_leds
            );

    end generate dff_generate;

end architecture behav;
SethGower commented 4 months ago

Sorry I am sort of blowing this issue up, hope I'm not spamming your inboxes too much. If discussion should be moved elsewhere, let me know

Here are more detailed error outputs

RUST_BACKTRACE=1 ``` [ERROR][2024-05-10 12:07:58] .../vim/lsp/rpc.lua:734 "rpc" "/home/sgower/.local/share/nvim/mason/bin/vhdl_ls" "stderr" "thread 'main' panicked at vhdl_lang/src/named_entity/arena.rs:113:32:\nno entry found for key\nstack backtrace:\n" [ERROR][2024-05-10 12:07:58] .../vim/lsp/rpc.lua:734 "rpc" "/home/sgower/.local/share/nvim/mason/bin/vhdl_ls" "stderr" " 0: rust_begin_unwind\n at /rustc/25ef9e3d85d934b27d9dada2f9dd52b1dc63bb04/library/std/src/panicking.rs:647:5\n 1: core::panicking::panic_fmt\n at /rustc/25ef9e3d85d934b27d9dada2f9dd52b1dc63bb04/library/core/src/panicking.rs:72:14\n 2: core::panicking::panic_display\n at /rustc/25ef9e3d85d934b27d9dada2f9dd52b1dc63bb04/library/core/src/panicking.rs:196:5\n 3: core::panicking::panic_str\n at /rustc/25ef9e3d85d934b27d9dada2f9dd52b1dc63bb04/library/core/src/panicking.rs:171:5\n 4: core::option::expect_failed\n at /rustc/25ef9e3d85d934b27d9dada2f9dd52b1dc63bb04/library/core/src/option.rs:1988:5\n" [ERROR][2024-05-10 12:07:58] .../vim/lsp/rpc.lua:734 "rpc" "/home/sgower/.local/share/nvim/mason/bin/vhdl_ls" "stderr" " 5: vhdl_lang::named_entity::arena::FinalArena::get\n" [ERROR][2024-05-10 12:07:58] .../vim/lsp/rpc.lua:734 "rpc" "/home/sgower/.local/share/nvim/mason/bin/vhdl_ls" "stderr" " 6: vhdl_lang::project::Project::format_entity\n" [ERROR][2024-05-10 12:07:58] .../vim/lsp/rpc.lua:734 "rpc" "/home/sgower/.local/share/nvim/mason/bin/vhdl_ls" "stderr" " 7: vhdl_ls::vhdl_server::VHDLServer::resolve_completion_item\n 8: vhdl_ls::stdio_server::ConnectionRpcChannel::main_event_loop\n 9: vhdl_ls::stdio_server::start\n 10: vhdl_ls::main\n" [ERROR][2024-05-10 12:07:58] .../vim/lsp/rpc.lua:734 "rpc" "/home/sgower/.local/share/nvim/mason/bin/vhdl_ls" "stderr" "note: Some details are omitted, run with `RUST_BACKTRACE=full` for a verbose backtrace.\n" ```
RUST_BACKTRACE=full ``` [ERROR][2024-05-10 12:08:30] .../vim/lsp/rpc.lua:734 "rpc" "/home/sgower/.local/share/nvim/mason/bin/vhdl_ls" "stderr" "thread 'main' panicked at vhdl_lang/src/named_entity/arena.rs:113:32:\nno entry found for key\nstack backtrace:\n" [ERROR][2024-05-10 12:08:30] .../vim/lsp/rpc.lua:734 "rpc" "/home/sgower/.local/share/nvim/mason/bin/vhdl_ls" "stderr" " 0: 0x78e95646ffa6 - std::backtrace_rs::backtrace::libunwind::trace::h892d1706cd1db3e2\n at /rustc/25ef9e3d85d934b27d9dada2f9dd52b1dc63bb04/library/std/src/../../backtrace/src/backtrace/libunwind.rs:104:5\n 1: 0x78e95646ffa6 - std::backtrace_rs::backtrace::trace_unsynchronized::h3ecd625736285bad\n at /rustc/25ef9e3d85d934b27d9dada2f9dd52b1dc63bb04/library/std/src/../../backtrace/src/backtrace/mod.rs:66:5\n 2: 0x78e95646ffa6 - std::sys_common::backtrace::_print_fmt::h4d59e1a015be3f6a\n at /rustc/25ef9e3d85d934b27d9dada2f9dd52b1dc63bb04/library/std/src/sys_common/backtrace.rs:" [ERROR][2024-05-10 12:08:30] .../vim/lsp/rpc.lua:734 "rpc" "/home/sgower/.local/share/nvim/mason/bin/vhdl_ls" "stderr" "68:5\n 3: 0x78e95646ffa6 - ::fmt::h22749e6c189a1ba4\n at /rustc/25ef9e3d85d934b27d9dada2f9dd52b1dc63bb04/library/std/src/sys_common/backtrace.rs:44:22\n" [ERROR][2024-05-10 12:08:30] .../vim/lsp/rpc.lua:734 "rpc" "/home/sgower/.local/share/nvim/mason/bin/vhdl_ls" "stderr" " 4: 0x78e9564add10 - core::fmt::rt::Argument::fmt::h628b62d266085cbe\n at /rustc/25ef9e3d85d934b27d9dada2f9dd52b1dc63bb04/library/core/src/fmt/rt.rs:142:9\n 5: 0x78e9564add10 - core::fmt::write::hbd839685e035b696\n at /rustc/25ef9e3d85d934b27d9dada2f9dd52b1dc63bb04/library/core/src/fmt/mod.rs:1120:17\n 6: 0x78e95646d28f - std::io::Write::" [ERROR][2024-05-10 12:08:30] .../vim/lsp/rpc.lua:734 "rpc" "/home/sgower/.local/share/nvim/mason/bin/vhdl_ls" "stderr" "write_fmt::hf6c409a0c9571fd5\n at /rustc/25ef9e3d85d934b27d9dada2f9dd52b1dc63bb04/library/std/src/io/mod.rs:1846:15\n 7: 0x78e95646fd84 - std::sys_common::backtrace::_print::hb51728f3c026694b\n at /rustc/25ef9e3d85d934b27d9dada2f9dd52b1dc63bb04/library/std/src/sys_common/backtrace.rs:47:5\n 8: 0x78e95646fd84 - std::sys_common::backtrace::print::hb846384473985f19\n at /rustc/25ef9e3d85d934b27d9dada2f9dd52b1dc63bb04/library/std/src/sys_common/backtrace.rs:34:9\n 9: 0x78e956471607 - std::panicking::default_hook::{{closure}}::h76c8448fbeb8f025\n" [ERROR][2024-05-10 12:08:30] .../vim/lsp/rpc.lua:734 "rpc" "/home/sgower/.local/share/nvim/mason/bin/vhdl_ls" "stderr" " 10: 0x78e956471369 - std::panicking::default_hook::h3e223b06c1476522\n " [ERROR][2024-05-10 12:08:30] .../vim/lsp/rpc.lua:734 "rpc" "/home/sgower/.local/share/nvim/mason/bin/vhdl_ls" "stderr" " at /rustc/25ef9e3d85d934b27d9dada2f9dd52b1dc63bb04/library/std/src/panicking.rs:292:9\n" [ERROR][2024-05-10 12:08:30] .../vim/lsp/rpc.lua:734 "rpc" "/home/sgower/.local/share/nvim/mason/bin/vhdl_ls" "stderr" " 11: 0x78e956471a98 - std::panicking::rust_panic_with_hook::he763db3201addaef\n at /rustc/25ef9e3d85d934b27d9dada2f9dd52b1dc63bb04/library/std/src/panicking.rs:781:13" [ERROR][2024-05-10 12:08:30] .../vim/lsp/rpc.lua:734 "rpc" "/home/sgower/.local/share/nvim/mason/bin/vhdl_ls" "stderr" "\n 12: 0x78e956471972 - std::panicking::begin_panic_handler::{{closure}}::h6a2479c715781496\n at /rustc/25ef9e3d85d934b27d9dada2f9dd52b1dc63bb04/library/std/src/panicking.rs:659:13\n" [ERROR][2024-05-10 12:08:30] .../vim/lsp/rpc.lua:734 "rpc" "/home/sgower/.local/share/nvim/mason/bin/vhdl_ls" "stderr" " 13: 0x78e9564704a6 - std::sys_common::backtrace::__rust_end_short_backtrace::h3e1f4030ed4007d2\n at /rustc/25ef9e3d85d934b27d9dada2f9dd52b1dc63bb04/library/std/src/sys_common/backtrace.rs:171:18\n 14: 0x78e9564716c4 - rust_begin_unwind\n at /rustc/25ef9e3d85d934b27d9dada2f9dd52b1dc63bb04/library/std/src/panicking.rs:647" [ERROR][2024-05-10 12:08:30] .../vim/lsp/rpc.lua:734 "rpc" "/home/sgower/.local/share/nvim/mason/bin/vhdl_ls" "stderr" ":5\n 15: 0x78e9560553f5 - core::panicking::panic_fmt::h196d65158d6c8545\n at /rustc/25ef9e3d85d934b27d9dada2f9dd52b1dc63bb04/library/core/src/panicking.rs:72:14\n 16: 0x78e9560553b3 - core::panicking::panic_display::h9321d2d5d9cbb8bf\n at /rustc/25ef9e3d85d934b27d9dada2f9dd52b1dc63bb04/library/core/src/panicking.rs:196:5\n 17: " [ERROR][2024-05-10 12:08:30] .../vim/lsp/rpc.lua:734 "rpc" "/home/sgower/.local/share/nvim/mason/bin/vhdl_ls" "stderr" " 0x78e9560553b3 - core::panicking::panic_str::h36380a9069bee35c\n at /rustc/25ef9e3d85d934b27d9dada2f9dd52b1dc63bb04/library/core/src/panicking.rs:171:5\n 18: 0x78e9560553b3 - core::option::expect_failed::hacfc1534f9ae6b79\n at /rustc/25ef9e3d85d934b27d9dada2f9dd52b1dc63bb04/library/core/src/option.rs:1988:5\n 19: " [ERROR][2024-05-10 12:08:30] .../vim/lsp/rpc.lua:734 "rpc" "/home/sgower/.local/share/nvim/mason/bin/vhdl_ls" "stderr" " 0x78e956346270 - vhdl_lang::named_entity::arena::FinalArena::get::h4f6d61e49621d4b3\n 20: 0x78e9562b4d4a - vhdl_lang::project::Project::format_entity::h844aacb324d938fa\n 21: 0x78e9561dac3a - vhdl_ls::vhdl_server::VHDLServer::resolve_completion_item::hee3a2eefcd0057f6\n" [ERROR][2024-05-10 12:08:30] .../vim/lsp/rpc.lua:734 "rpc" "/home/sgower/.local/share/nvim/mason/bin/vhdl_ls" "stderr" " 22: 0x78e95613dbbd - vhdl_ls::stdio_server::ConnectionRpcChannel::main_event_loop::hf1bfe2089f12a225\n 23: 0x78e95613b1e5 - vhdl_ls::stdio_server::start::hc8e81781f7f421b9\n 24: 0x78e95605d38d - vhdl_ls::main::h13d5eb4c845395c5\n 25: 0x78e956056793 - std::sys_common::backtrace::__rust_begin_short_backtrace::hadb65c6b684f6474\n 26: 0x78e956057169 - std::rt::lang_start::{{closure}}" [ERROR][2024-05-10 12:08:30] .../vim/lsp/rpc.lua:734 "rpc" "/home/sgower/.local/share/nvim/mason/bin/vhdl_ls" "stderr" "::hd0bd5409062f13b0\n" [ERROR][2024-05-10 12:08:30] .../vim/lsp/rpc.lua:734 "rpc" "/home/sgower/.local/share/nvim/mason/bin/vhdl_ls" "stderr" " 27: 0x78e956464908 - core::ops::" [ERROR][2024-05-10 12:08:30] .../vim/lsp/rpc.lua:734 "rpc" "/home/sgower/.local/share/nvim/mason/bin/vhdl_ls" "stderr" "function::impls:: for &F>::call_once::h4fe3b36126f7f086\n at /rustc/25ef9e3d85d934b27d9dada2f9dd52b1dc63bb04/library/core/src/ops/function.rs:284:13\n" [ERROR][2024-05-10 12:08:30] .../vim/lsp/rpc.lua:734 "rpc" "/home/sgower/.local/share/nvim/mason/bin/vhdl_ls" "stderr" " 28: 0x78e956464908 - std::panicking::try::do_call::had0a8dcbddb9b12c\n at /rustc/25ef9e3d85d934b27d9dada2f9dd52b1dc63bb04/library/std/src/panicking.rs:554:40\n 29: 0x78e956464908 - std::panicking::try::hab4a7192aa0faa7b\n at /rustc/25ef9e3d85d934b27d9dada2f9dd52b1dc63bb04/library/std/src/panicking.rs:518:" [ERROR][2024-05-10 12:08:30] .../vim/lsp/rpc.lua:734 "rpc" "/home/sgower/.local/share/nvim/mason/bin/vhdl_ls" "stderr" "19\n 30: 0x78e956464908 - std::panic::catch_unwind::h2555354b2d077236\n at /rustc/25ef9e3d85d934b27d9dada2f9dd52b1dc63bb04/library/std/src/panic.rs:142:14\n 31: 0x78e956464908 - std::rt::lang_start_internal::{{closure}}::hb87d103358fafd17\n at /rustc/25ef9e3d85d934b27d9dada2f9dd52b1dc63bb04/library/std/src/rt.rs:148:48\n 32: 0x78e956464908 - std::panicking::try::do_call::hd1a11721183f48bf\n " [ERROR][2024-05-10 12:08:30] .../vim/lsp/rpc.lua:734 "rpc" "/home/sgower/.local/share/nvim/mason/bin/vhdl_ls" "stderr" " at /rustc/25ef9e3d85d934b27d9dada2f9dd52b1dc63bb04/library/std/src/panicking.rs:554:40\n 33: 0x78e956464908 - std::panicking::try::hc358389f2f41f589\n at /rustc/25ef9e3d85d934b27d9dada2f9dd52b1dc63bb04/library/std/src/panicking.rs:518:19\n 34: 0x78e956464908 - std::panic::catch_unwind::hb922373e2e28a400\n at /rustc/25ef9e3d85d934b27d9dada2f9dd52b1dc63bb04/library/std/src/panic.rs:142:14\n 35: 0x78e956464908 - std::rt::lang_start_internal::h25c4055374a24228\n " [ERROR][2024-05-10 12:08:30] .../vim/lsp/rpc.lua:734 "rpc" "/home/sgower/.local/share/nvim/mason/bin/vhdl_ls" "stderr" " at /rustc/25ef9e3d85d934b27d9dada2f9dd52b1dc63bb04/library/std/src/rt.rs:148:20\n 36: 0x78e95605eb65 - main\n" ```
Schottkyc137 commented 4 months ago

Sorry I am sort of blowing this issue up, hope I'm not spamming your inboxes too much. If discussion should be moved elsewhere, let me know

Here are more detailed error outputs

RUST_BACKTRACE=1 RUST_BACKTRACE=full

Don't worry about spamming my inbox, your efforts are greatly appreciated. I suspect that somehow the Entity Id gets lost in translation. This might be an issue with vhdl_ls, or the client. When completing items, servers can attach some data that the client will echo to request more information. For some reason, in this echo process, the entity id sent back from the client is no longer valid. The relevant code can be found here. Maybe it's worth adding a debug statement to see the entity ID echoed by the client and the entities in the pool here? In either way, the server should probably handle this more gracefully and not crash because some faulty ID was sent.