VHDL-LS / rust_hdl_vscode

VHDL Language Support for VSCode
MIT License
50 stars 17 forks source link

VHDL 2008 block comments are not highlighted #24

Closed GlenNicholls closed 1 year ago

GlenNicholls commented 4 years ago

VHDL 2008 introduced block comments /* */, but are not currently supported or highlighted. They should have a different color scheme than line comments, possibly the same red color code as Python

bpadalino commented 1 year ago

Please take a look at #66 to see if your highlighting issues are alleviated. This is a syntax-only grammar I created for VHDL-2019 specifically for this project. It doesn't know any other context about your code, so some highlights might go away.

Please feel free to report any issues with that updated grammar in #66.

kraigher commented 1 year ago

Closed as fixed by #66 until we hear otherwise