VHDL-LS / rust_hdl_vscode

VHDL Language Support for VSCode
MIT License
50 stars 17 forks source link

[BUG] Not all standard keywords are supported for syntax highlighting #4

Closed GlenNicholls closed 4 years ago

GlenNicholls commented 4 years ago

Almost all keywords are supported, however types in the standard ieee libraries are not. Things like std_logic, std_logic_vector, real, unsigned, etc. should all be supported. IMO, these should be a different color than the currently supported keywords

GlenNicholls commented 4 years ago

There should also be failure, warning, error, and note.

Bochlin commented 4 years ago

Added syntax from textmate bundle in 9e19ed61aa8f4e75daad242226ff3b3c4703dc51