VHDL / Compliance-Tests

Tests to evaluate the support of VHDL 2008 and VHDL 2019 features
https://vhdl.github.io/Compliance-Tests/
Apache License 2.0
25 stars 7 forks source link

run: use GITHUB_STEP_SUMMARY when running within GitHub Actions #30

Closed umarcor closed 1 year ago

umarcor commented 1 year ago

Close #16.

This PR prints the list of failed tests to the GITHUB_STEP_SUMMARY (https://github.blog/2022-05-09-supercharging-github-actions-with-job-summaries/), so that we don't need to open the logs in order to see that.

Results are obtained through the post_run feature of VUnit.