VHDL / Compliance-Tests

Tests to evaluate the support of VHDL 2008 and VHDL 2019 features
https://vhdl.github.io/Compliance-Tests/
Apache License 2.0
25 stars 7 forks source link

Added VUnit mock for simulators not supported by VUnit. #39

Open LarsAsplund opened 1 year ago

LarsAsplund commented 1 year ago

This will allow testing with simulators not supported by VUnit.

LarsAsplund commented 1 year ago

Tested this approach with a few testbenches under Xilinx XSim, Cadence Xcelium, and Synopsys VCS. The last two were tested under EDAPlayground which comes with a number of limitations that had to be worked around. Unclear if there are any issues with a proper installation.