VLSIDA / OpenRAM

An open-source static random access memory (SRAM) compiler.
http://www.openram.org
BSD 3-Clause "New" or "Revised" License
821 stars 201 forks source link

OpenRAM overflow error #146

Closed harishMadhavan1010 closed 2 years ago

harishMadhavan1010 commented 2 years ago

image

image

I am facing overflow error whenever I simulate the above file and for some reason, the error does not show up if I keep the word_size and num_words less (@2,16). I think that this is a bug. I'd be glad if someone helps me out. Meanwhile, I'll mess around with different word sizes, number of words and corners. Thank you!

Edit: It also works at @8,128 , @32,256 , @32,512. Something odd happens at 1024 which I haven't figured out so far. Also, setting analytical_delay as False throws a bunch of errors.

mguthaus commented 2 years ago

Which commit of OpenRAM are you using?

mguthaus commented 2 years ago

The technology should be sky130 not sky130A in your config.

Ryabkomv commented 2 years ago

I have the same issue. Setting the tech to sky130 in config file throws SystemError: Unable to find open_pdk tech file. Set PDK_ROOT.

mguthaus commented 2 years ago

Yes, you need to set the PDK_ROOT so that it knows where to install the PDK when you run:

make pdk make install

open_pdks, specifically was added in c8139cf1452c13f98e200e24a45f1c79d91c9c27. This will assume that this is the local OpenRAM directory and just put it there if you don't define it. If you have the PDK installed for OpenLane, it may work but that might also be a different version which could cause problems so it is recommended that you install using our Makefiles.