VUnit / vunit

VUnit is a unit testing framework for VHDL/SystemVerilog
http://vunit.github.io/
Other
696 stars 250 forks source link

modelsim ini options for GUI mode #1024

Open alexrayne opened 1 month ago

alexrayne commented 1 month ago

modelsim ini options for GUI mode now can setup with via "modelsim.vsim_ini.gui" sim option.

this provides option in modelsim.ini for GUI-mode simulation:

[vsim]
ShutdownFile=wave.do

That saves all wave-setup on UI close