VUnit / vunit

VUnit is a unit testing framework for VHDL/SystemVerilog
http://vunit.github.io/
Other
696 stars 250 forks source link

Removed Questasim compilation warning. Fixes #981. (2) #1027

Closed rafaelnp closed 3 weeks ago

rafaelnp commented 3 weeks ago

fix modelsim/questa warning on string length comparison, not included in the previous commit.

LarsAsplund commented 3 weeks ago

Thanks