VUnit / vunit

VUnit is a unit testing framework for VHDL/SystemVerilog
http://vunit.github.io/
Other
722 stars 261 forks source link

Set NVC_CONCURRENT_JOBS to value of num_threads argument #1037

Closed nickg closed 3 months ago

nickg commented 3 months ago

See #1036 and nickg/nvc@0758f79d7c6c