VUnit / vunit

VUnit is a unit testing framework for VHDL/SystemVerilog
http://vunit.github.io/
Other
728 stars 262 forks source link

VUnit does not add dependency for component declarations #27

Closed KevinKes closed 9 years ago

KevinKes commented 9 years ago

When a component instantation is used in a VHDL design, it is not added to the dependency graph of VUnit.

I did some modification to the VHDL parser and the project builder of VUnit in my fork to include this. Please tell me what you think.

In a next step I want to add also a function to the project.py to get the dependencies top-down from a selected top entity.

kraigher commented 9 years ago

@KevinKes I added some comments to the commit. If you add some unit tests to vunit/test/test_project.py and vunit/test/test_vhdl_parser.py and make a pull request I will merge it.

KevinKes commented 9 years ago

Thanks for your comments, I will process your comments and update the testers.