VUnit / vunit

VUnit is a unit testing framework for VHDL/SystemVerilog
http://vunit.github.io/
Other
728 stars 262 forks source link

Separate Entity and Architecture Files Fail Compilation #69

Closed jarickc closed 9 years ago

jarickc commented 9 years ago

While attempting to execute run.py the architecture cannot be compiled because it is looking for the entity in work instead of the test_lib. I think this is a compile order problem based on not properly recognizing dependencies of an architecture on an entity.

kraigher commented 9 years ago

@jarickc Could you provide a minimal verifiable example? We have a test case for this scenario which passes:

from vunit/test/unit/test_project.py

    def test_finds_entity_architecture_dependencies(self):
        self.project.add_library("lib", "lib_path")
        self.add_source_file("lib", "entity.vhd", """
entity foo is
end entity;
""")

        self.add_source_file("lib", "arch1.vhd", """
architecture arch1 of foo is
begin
end architecture;
""")

        self.add_source_file("lib", "arch2.vhd", """
architecture arch2 of foo is
begin
end architecture;
""")
        self.assert_compiles("entity.vhd", before="arch1.vhd")
        self.assert_compiles("entity.vhd", before="arch2.vhd")
jarickc commented 9 years ago

Sure let me make something stripped down and bland.

jarickc commented 9 years ago
LIBRARY ieee;                     
USE ieee.std_logic_1164.ALL;      
USE ieee.numeric_std.ALL;         
USE ieee.numeric_std_unsigned.ALL;

LIBRARY osvvm;
--USE osvvm.tbutilpkg.ALL;
USE osvvm.transcriptpkg.ALL;
USE osvvm.alertlogpkg.ALL;
USE osvvm.randompkg.ALL;
USE osvvm.coveragepkg.ALL;

ENTITY TestCtrl IS
  PORT (
   CLK                 : IN    STD_LOGIC;
   RESET               : IN    STD_LOGIC;
);
END TestCtrl;
jarickc commented 9 years ago
ARCHITECTURE verifiable OF TestCtrl IS
BEGIN
END verifiable;
jarickc commented 9 years ago
# This Source Code Form is subject to the terms of the Mozilla Public
# License, v. 2.0. If a copy of the MPL was not distributed with this file,
# You can obtain one at http://mozilla.org/MPL/2.0/.
#
# Copyright (c) 2014-2015, Lars Asplund lars.anders.asplund@gmail.com

from os.path import join, dirname
from vunit import VUnit

ui = VUnit.from_argv()
ui.add_osvvm()

source_path = join(dirname(__file__), "Source")
test_path = join(dirname(__file__), "Test")

src_lib = ui.add_library("src_lib")
src_lib.add_source_files(join(source_path, "*.vhd"))

tb_lib = ui.add_library("tb_lib")
tb_lib.add_source_files(join(test_path, "*.vhd"))

ui.main()
jarickc commented 9 years ago

Sorry about the markdown. That is my entity architecture, and run.py. The former 2 are in the test directory

kraigher commented 9 years ago

@jarickc I edited your comments to add proper code formatting using backticks. You can press edit to see what I did. The code must be enclosed in three backticks before and after. The language can be specified immediately after the first three backticks starting the code block. It is described here

kraigher commented 9 years ago

@jarickc There is a syntax error in the entity file which causes the file not to be correctly parsed by VUnit. It should notify you of this error. At least it does for me:

Traceback (most recent call last):
  File "/home/kraigher/repo/vunit/vunit/project.py", line 400, in __init__
    design_file = vhdl_parser.parse(code, name)
  File "/home/kraigher/repo/vunit/vunit/vhdl_parser.py", line 60, in parse
    design_file = VHDLDesignFile.parse(code)
  File "/home/kraigher/repo/vunit/vunit/vhdl_parser.py", line 93, in parse
    return cls(entities=list(VHDLEntity.find(code)),
  File "/home/kraigher/repo/vunit/vunit/vhdl_parser.py", line 324, in find
    yield VHDLEntity.parse(sub_code[:match.end()])
  File "/home/kraigher/repo/vunit/vunit/vhdl_parser.py", line 344, in parse
    ports = cls._find_port_clause(code)
  File "/home/kraigher/repo/vunit/vunit/vhdl_parser.py", line 396, in _find_port_clause
    code[match.start(): match.end() + closing_pos + match_semicolon.end()])
  File "/home/kraigher/repo/vunit/vunit/vhdl_parser.py", line 431, in _parse_port_clause
    port_list.append(VHDLInterfaceElement.parse(interface_element, is_signal=True))
  File "/home/kraigher/repo/vunit/vunit/vhdl_parser.py", line 534, in parse
    mode_split = interface_element_string.split(':')[1].strip().split(None, 1)
IndexError: list index out of range
  ERROR - Failed to parse entity.vhd

Maybe this error was just caused by your simplifcation though?

kraigher commented 9 years ago

Fixing the syntax error causes the dependencies to be correct when I try it.

jarickc commented 9 years ago

I ripped about 500 lines of code out to get the stripped down code. Sorry I missed the syntax error. I received the same error with this code as with my original.

My original does compile in Modelsim so I know it isn't a syntax error there. I will make the correction and see if it works then. I will have to check it on Monday as it is on a work computer.

kraigher commented 9 years ago

@jarickc There must be some hidden corner case we are missing since I cannot reproduce it and we have tests which verifies this exact functionality. You could try to run run.py with --log-level=debug which will print out useful information when parsing the files such as:

   INFO - Adding source file entity.vhd to library lib
  DEBUG - Adding primary design unit (entity) testctrl
   INFO - arch.vhd to library lib
  DEBUG - Adding secondary design unit (architecture) verifiable

If you scan your own debug output you can see if the testctrl and verifiable design units are even found. On potential source of error is that they are not even parsed correctly and thus not recognized.

jarickc commented 9 years ago

I made the correction and compiled in Quartus. Same failure occurs when running run.py.

C:\vunittest>python run.py --log-level debug INFO - Adding library vunit_lib with path C:\vunittest\vunit_out\modelsim\libraries\vunit_lib INFO - Adding source file C:\Python34\lib\site-packages\vhdl\vhdl\src\lang\lang.vhd to library vunit_lib DEBUG - Re-using cached VHDL parse results for C:\Python34\lib\site-packages\vhdl\vhdl\src\lang\lang.vhd with content_hash=sha1:80b154bea20440a68eda794c4ed196f3eb337910 DEBUG - Adding primary design unit (package) lang DEBUG - Adding secondary design unit (package body) for package lang DEBUG - The file 'C:\Python34\lib\site-packages\vhdl\vhdl\src\lang\lang.vhd' has no components INFO - Adding source file C:\Python34\lib\site-packages\vhdl\vhdl\src\lib\std\textio.vhd to library vunit_lib DEBUG - Re-using cached VHDL parse results for C:\Python34\lib\site-packages\vhdl\vhdl\src\lib\std\textio.vhd with content_hash=sha1:38974b51f5134e69f6e8a4230fdbbbaa01bb9940 DEBUG - Adding primary design unit (package) textio DEBUG - Adding secondary design unit (package body) for package textio DEBUG - The file 'C:\Python34\lib\site-packages\vhdl\vhdl\src\lib\std\textio.vhd' has no components INFO - Adding source file C:\Python34\lib\site-packages\vhdl\string_ops\src\string_ops.vhd to library vunit_lib DEBUG - Re-using cached VHDL parse results for C:\Python34\lib\site-packages\vhdl\string_ops\src\string_ops.vhd with content_hash=sha1:985a6307e42bef637b496d5e51d93d155efdba37 DEBUG - Adding primary design unit (package) string_ops DEBUG - Adding secondary design unit (package body) for package string_ops DEBUG - The file 'C:\Python34\lib\site-packages\vhdl\string_ops\src\string_ops.vhd' has no components INFO - Adding source file C:\Python34\lib\site-packages\vhdl\check\src\check.vhd to library vunit_lib DEBUG - Re-using cached VHDL parse results for C:\Python34\lib\site-packages\vhdl\check\src\check.vhd with content_hash=sha1:52afdd57f08ca14503034e55ffd27fb2e5009a71 DEBUG - Adding secondary design unit (package body) for package check_pkg DEBUG - The file 'C:\Python34\lib\site-packages\vhdl\check\src\check.vhd' hasno components INFO - Adding source file C:\Python34\lib\site-packages\vhdl\check\src\check_api.vhd to library vunit_lib DEBUG - Re-using cached VHDL parse results for C:\Python34\lib\site-packages\vhdl\check\src\check_api.vhd with content_hash=sha1:e23640d6acadcfef1a3a922013baf0424045137a DEBUG - Adding primary design unit (package) check_pkg DEBUG - The file 'C:\Python34\lib\site-packages\vhdl\check\src\check_api.vhd'has no components INFO - Adding source file C:\Python34\lib\site-packages\vhdl\check\src\check_base_api.vhd to library vunit_lib DEBUG - Re-using cached VHDL parse results for C:\Python34\lib\site-packages\vhdl\check\src\check_base_api.vhd with content_hash=sha1:91a8fdf5d7e9e503de45b6fda547a6625cd6ab6e DEBUG - Adding primary design unit (package) check_base_pkg DEBUG - The file 'C:\Python34\lib\site-packages\vhdl\check\src\check_base_api.vhd' has no components INFO - Adding source file C:\Python34\lib\site-packages\vhdl\check\src\check_types.vhd to library vunit_lib DEBUG - Re-using cached VHDL parse results for C:\Python34\lib\site-packages\vhdl\check\src\check_types.vhd with content_hash=sha1:cf50a245af5d51784488477d4b1e7e11e85cae21 DEBUG - Adding primary design unit (package) check_types_pkg DEBUG - Adding secondary design unit (package body) for package check_types_pkg DEBUG - The file 'C:\Python34\lib\site-packages\vhdl\check\src\check_types.vhd' has no components INFO - Adding source file C:\Python34\lib\site-packages\vhdl\run\src\stop_api.vhd to library vunit_lib DEBUG - Re-using cached VHDL parse results for C:\Python34\lib\site-packages\vhdl\run\src\stop_api.vhd with content_hash=sha1:9e2c42f7e70a9d3ff0dec1b57a2def8d470ed03e DEBUG - Adding primary design unit (package) vunit_stop_pkg DEBUG - The file 'C:\Python34\lib\site-packages\vhdl\run\src\stop_api.vhd' has no components INFO - Adding source file C:\Python34\lib\site-packages\vhdl\run\src\run.vhdto library vunit_lib DEBUG - Re-using cached VHDL parse results for C:\Python34\lib\site-packages\vhdl\run\src\run.vhd with content_hash=sha1:37f71262b7ca7460de87dcf5fad13008b9909425 DEBUG - Adding secondary design unit (package body) for package run_pkg DEBUG - The file 'C:\Python34\lib\site-packages\vhdl\run\src\run.vhd' has no components INFO - Adding source file C:\Python34\lib\site-packages\vhdl\run\src\run_api.vhd to library vunit_lib DEBUG - Re-using cached VHDL parse results for C:\Python34\lib\site-packages\vhdl\run\src\run_api.vhd with content_hash=sha1:ff7b5fdba20ffbc25032bb89ff7982a5ebfcdbaa DEBUG - Adding primary design unit (package) run_pkg DEBUG - The file 'C:\Python34\lib\site-packages\vhdl\run\src\run_api.vhd' has no components INFO - Adding source file C:\Python34\lib\site-packages\vhdl\run\src\run_types.vhd to library vunit_lib DEBUG - Re-using cached VHDL parse results for C:\Python34\lib\site-packages\vhdl\run\src\run_types.vhd with content_hash=sha1:a1e6c746832589f294cfb0946ed32b1ef2e25abb DEBUG - Adding primary design unit (package) run_types_pkg DEBUG - Adding secondary design unit (package body) for package run_types_pkg DEBUG - The file 'C:\Python34\lib\site-packages\vhdl\run\src\run_types.vhd' has no components INFO - Adding source file C:\Python34\lib\site-packages\vhdl\run\src\run_base_api.vhd to library vunit_lib DEBUG - Re-using cached VHDL parse results for C:\Python34\lib\site-packages\vhdl\run\src\run_base_api.vhd with content_hash=sha1:b7fb8fecd8d857ea9fe396af8c9abcc80b8c931d DEBUG - Adding primary design unit (package) run_base_pkg DEBUG - The file 'C:\Python34\lib\site-packages\vhdl\run\src\run_base_api.vhd' has no components INFO - Adding source file C:\Python34\lib\site-packages\vhdl\logging\src\log_api.vhd to library vunit_lib DEBUG - Re-using cached VHDL parse results for C:\Python34\lib\site-packages\vhdl\logging\src\log_api.vhd with content_hash=sha1:5515563600ce7d7772de0792ac7ad50a9d546b56 DEBUG - Adding primary design unit (package) log_pkg DEBUG - The file 'C:\Python34\lib\site-packages\vhdl\logging\src\log_api.vhd'has no components INFO - Adding source file C:\Python34\lib\site-packages\vhdl\logging\src\log_formatting.vhd to library vunit_lib DEBUG - Re-using cached VHDL parse results for C:\Python34\lib\site-packages\vhdl\logging\src\log_formatting.vhd with content_hash=sha1:1414ecd48908de4eec2225022ab61325fbc5bbcb DEBUG - Adding primary design unit (package) log_formatting_pkg DEBUG - Adding secondary design unit (package body) for package log_formatting_pkg DEBUG - The file 'C:\Python34\lib\site-packages\vhdl\logging\src\log_formatting.vhd' has no components INFO - Adding source file C:\Python34\lib\site-packages\vhdl\logging\src\log.vhd to library vunit_lib DEBUG - Re-using cached VHDL parse results for C:\Python34\lib\site-packages\vhdl\logging\src\log.vhd with content_hash=sha1:31715b01a0c64aa2deb83935c118d593cd11c2f4 DEBUG - Adding secondary design unit (package body) for package log_pkg DEBUG - The file 'C:\Python34\lib\site-packages\vhdl\logging\src\log.vhd' has no components INFO - Adding source file C:\Python34\lib\site-packages\vhdl\logging\src\log_types.vhd to library vunit_lib DEBUG - Re-using cached VHDL parse results for C:\Python34\lib\site-packages\vhdl\logging\src\log_types.vhd with content_hash=sha1:6a901acfb82005a71185b67fb6747953316eb8fa DEBUG - Adding primary design unit (package) log_types_pkg DEBUG - Adding secondary design unit (package body) for package log_types_pkg DEBUG - The file 'C:\Python34\lib\site-packages\vhdl\logging\src\log_types.vhd' has no components INFO - Adding source file C:\Python34\lib\site-packages\vhdl\dictionary\src\dictionary.vhd to library vunit_lib DEBUG - Re-using cached VHDL parse results for C:\Python34\lib\site-packages\vhdl\dictionary\src\dictionary.vhd with content_hash=sha1:27702e44136ff4cbf64779a22ee029c4c912608f DEBUG - Adding primary design unit (package) dictionary DEBUG - Adding secondary design unit (package body) for package dictionary DEBUG - The file 'C:\Python34\lib\site-packages\vhdl\dictionary\src\dictionary.vhd' has no components INFO - Adding source file C:\Python34\lib\site-packages\vhdl\path\src\path.vhd to library vunit_lib DEBUG - Re-using cached VHDL parse results for C:\Python34\lib\site-packages\vhdl\path\src\path.vhd with content_hash=sha1:804011c6ac90e9f6ceb799d27ef7f1dcb0694442 DEBUG - Adding primary design unit (package) path DEBUG - Adding secondary design unit (package body) for package path DEBUG - The file 'C:\Python34\lib\site-packages\vhdl\path\src\path.vhd' has no components INFO - Adding source file C:\Python34\lib\site-packages\vhdl\logging\src\log_base.vhd to library vunit_lib DEBUG - Re-using cached VHDL parse results for C:\Python34\lib\site-packages\vhdl\logging\src\log_base.vhd with content_hash=sha1:e9dfdd0fc4cb96d7463737dc1f8ef243f93c6e1d DEBUG - Adding secondary design unit (package body) for package log_base_pkg DEBUG - The file 'C:\Python34\lib\site-packages\vhdl\logging\src\log_base.vhd' has no components INFO - Adding source file C:\Python34\lib\site-packages\vhdl\logging\src\log_special_types200x.vhd to library vunit_lib DEBUG - Re-using cached VHDL parse results for C:\Python34\lib\site-packages\vhdl\logging\src\log_special_types200x.vhd with content_hash=sha1:a1611545ab33234ec27b24582e57ee4cd473366d DEBUG - Adding primary design unit (package) log_special_types_pkg DEBUG - Adding secondary design unit (package body) for package log_special_types_pkg DEBUG - The file 'C:\Python34\lib\site-packages\vhdl\logging\src\log_special_types200x.vhd' has no components INFO - Adding source file C:\Python34\lib\site-packages\vhdl\logging\src\log_base_api.vhd to library vunit_lib DEBUG - Re-using cached VHDL parse results for C:\Python34\lib\site-packages\vhdl\logging\src\log_base_api.vhd with content_hash=sha1:5d70a95279cdf0b20f0126e441998e540c856993 DEBUG - Adding primary design unit (package) log_base_pkg DEBUG - The file 'C:\Python34\lib\site-packages\vhdl\logging\src\log_base_api.vhd' has no components INFO - Adding source file C:\Python34\lib\site-packages\vhdl\check\src\check_base.vhd to library vunit_lib DEBUG - Re-using cached VHDL parse results for C:\Python34\lib\site-packages\vhdl\check\src\check_base.vhd with content_hash=sha1:fb48b09b490ac1d7312f35c51073da09074d7d90 DEBUG - Adding secondary design unit (package body) for package check_base_pkg DEBUG - The file 'C:\Python34\lib\site-packages\vhdl\check\src\check_base.vhd' has no components INFO - Adding source file C:\Python34\lib\site-packages\vhdl\check\src\check_special_types200x.vhd to library vunit_lib DEBUG - Re-using cached VHDL parse results for C:\Python34\lib\site-packages\vhdl\check\src\check_special_types200x.vhd with content_hash=sha1:12a86d092f11a6565cb4241fa624ceb47d7eb529 DEBUG - Adding primary design unit (package) check_special_types_pkg DEBUG - Adding secondary design unit (package body) for package check_special_types_pkg DEBUG - The file 'C:\Python34\lib\site-packages\vhdl\check\src\check_special_types200x.vhd' has no components INFO - Adding source file C:\Python34\lib\site-packages\vhdl\run\src\run_base.vhd to library vunit_lib DEBUG - Re-using cached VHDL parse results for C:\Python34\lib\site-packages\vhdl\run\src\run_base.vhd with content_hash=sha1:3911b0f89de7c65506b2991c9a662c38368bf81e DEBUG - Adding secondary design unit (package body) for package run_base_pkg DEBUG - The file 'C:\Python34\lib\site-packages\vhdl\run\src\run_base.vhd' has no components INFO - Adding source file C:\Python34\lib\site-packages\vhdl\run\src\run_special_types200x.vhd to library vunit_lib DEBUG - Re-using cached VHDL parse results for C:\Python34\lib\site-packages\vhdl\run\src\run_special_types200x.vhd with content_hash=sha1:1d18d047568ab67ea9f8cd042ed12eb8ea786307 DEBUG - Adding primary design unit (package) run_special_types_pkg DEBUG - Adding secondary design unit (package body) for package run_special_types_pkg DEBUG - The file 'C:\Python34\lib\site-packages\vhdl\run\src\run_special_types200x.vhd' has no components INFO - Adding source file C:\Python34\lib\site-packages\vhdl\vunit_context.vhd to library vunit_lib DEBUG - Re-using cached VHDL parse results for C:\Python34\lib\site-packages\vhdl\vunit_context.vhd with content_hash=sha1:9207306ff4d8135a1507cc75af12a8ca3b8b52c4 DEBUG - Adding primary design unit (context) vunit_context DEBUG - The file 'C:\Python34\lib\site-packages\vhdl\vunit_context.vhd' has no components INFO - Adding source file C:\Python34\lib\site-packages\vhdl\run\src\stop_body_2008.vhd to library vunit_lib DEBUG - Re-using cached VHDL parse results for C:\Python34\lib\site-packages\vhdl\run\src\stop_body_2008.vhd with content_hash=sha1:08477cb379bb580020583b2b4992fd54c3b2b83b DEBUG - Adding secondary design unit (package body) for package vunit_stop_pkg DEBUG - The file 'C:\Python34\lib\site-packages\vhdl\run\src\stop_body_2008.vhd' has no components INFO - Adding library osvvm with path C:\vunittest\vunit_out\modelsim\libraries\osvvm INFO - Adding source file C:\Python34\lib\site-packages\vhdl\osvvm\AlertLogPkg.vhd to library osvvm DEBUG - Re-using cached VHDL parse results for C:\Python34\lib\site-packages\vhdl\osvvm\AlertLogPkg.vhd with content_hash=sha1:75192dbe848c165fed868a097d932c1b583c74b4 DEBUG - Adding primary design unit (package) alertlogpkg DEBUG - Adding secondary design unit (package body) for package alertlogpkg DEBUG - The file 'C:\Python34\lib\site-packages\vhdl\osvvm\AlertLogPkg.vhd' has no components INFO - Adding source file C:\Python34\lib\site-packages\vhdl\osvvm\CoveragePkg.vhd to library osvvm DEBUG - Re-using cached VHDL parse results for C:\Python34\lib\site-packages\vhdl\osvvm\CoveragePkg.vhd with content_hash=sha1:d869be00084f1639b69026be89cd21a513d3f43d DEBUG - Adding primary design unit (package) coveragepkg DEBUG - Adding secondary design unit (package body) for package coveragepkg DEBUG - The file 'C:\Python34\lib\site-packages\vhdl\osvvm\CoveragePkg.vhd' has no components INFO - Adding source file C:\Python34\lib\site-packages\vhdl\osvvm\MessagePkg.vhd to library osvvm DEBUG - Re-using cached VHDL parse results for C:\Python34\lib\site-packages\vhdl\osvvm\MessagePkg.vhd with content_hash=sha1:3340d1838bf2045dc09fd8b977034a5e4f4605ba DEBUG - Adding primary design unit (package) messagepkg DEBUG - Adding secondary design unit (package body) for package messagepkg DEBUG - The file 'C:\Python34\lib\site-packages\vhdl\osvvm\MessagePkg.vhd' has no components INFO - Adding source file C:\Python34\lib\site-packages\vhdl\osvvm\NamePkg.vhd to library osvvm DEBUG - Re-using cached VHDL parse results for C:\Python34\lib\site-packages\vhdl\osvvm\NamePkg.vhd with content_hash=sha1:1acad77f1c3f38a32207acc0d23373a1a83a606a DEBUG - Adding primary design unit (package) namepkg DEBUG - Adding secondary design unit (package body) for package namepkg DEBUG - The file 'C:\Python34\lib\site-packages\vhdl\osvvm\NamePkg.vhd' has no components INFO - Adding source file C:\Python34\lib\site-packages\vhdl\osvvm\OsvvmContext.vhd to library osvvm DEBUG - Re-using cached VHDL parse results for C:\Python34\lib\site-packages\vhdl\osvvm\OsvvmContext.vhd with content_hash=sha1:bb1b0ab1e8fde53a69df0c3b4307c6997276bc5b DEBUG - Adding primary design unit (context) osvvmcontext DEBUG - The file 'C:\Python34\lib\site-packages\vhdl\osvvm\OsvvmContext.vhd' has no components INFO - Adding source file C:\Python34\lib\site-packages\vhdl\osvvm\OsvvmGlobalPkg.vhd to library osvvm DEBUG - Re-using cached VHDL parse results for C:\Python34\lib\site-packages\vhdl\osvvm\OsvvmGlobalPkg.vhd with content_hash=sha1:3e2239c5ca84f0e9b694170146cf6f799188ae3f DEBUG - Adding primary design unit (package) osvvmglobalpkg DEBUG - Adding secondary design unit (package body) for package osvvmglobalpkg DEBUG - The file 'C:\Python34\lib\site-packages\vhdl\osvvm\OsvvmGlobalPkg.vhd' has no components INFO - Adding source file C:\Python34\lib\site-packages\vhdl\osvvm\RandomBasePkg.vhd to library osvvm DEBUG - Re-using cached VHDL parse results for C:\Python34\lib\site-packages\vhdl\osvvm\RandomBasePkg.vhd with content_hash=sha1:bf696ddc540085bce0ae8701bcafb3821e88718a DEBUG - Adding primary design unit (package) randombasepkg DEBUG - Adding secondary design unit (package body) for package randombasepkg DEBUG - The file 'C:\Python34\lib\site-packages\vhdl\osvvm\RandomBasePkg.vhd'has no components INFO - Adding source file C:\Python34\lib\site-packages\vhdl\osvvm\RandomPkg.vhd to library osvvm DEBUG - Re-using cached VHDL parse results for C:\Python34\lib\site-packages\vhdl\osvvm\RandomPkg.vhd with content_hash=sha1:2c6d7d410aeb524b2d9ab6461c9f1d904de87477 DEBUG - Adding primary design unit (package) randompkg DEBUG - Adding secondary design unit (package body) for package randompkg DEBUG - The file 'C:\Python34\lib\site-packages\vhdl\osvvm\RandomPkg.vhd' has no components INFO - Adding source file C:\Python34\lib\site-packages\vhdl\osvvm\SortListPkg_int.vhd to library osvvm DEBUG - Re-using cached VHDL parse results for C:\Python34\lib\site-packages\vhdl\osvvm\SortListPkg_int.vhd with content_hash=sha1:4a150e5acff59b2f4bcb3774ae37b8d36f416fea DEBUG - Adding primary design unit (package) sortlistpkg_int DEBUG - Adding secondary design unit (package body) for package sortlistpkg_int DEBUG - The file 'C:\Python34\lib\site-packages\vhdl\osvvm\SortListPkg_int.vhd' has no components INFO - Adding source file C:\Python34\lib\site-packages\vhdl\osvvm\TranscriptPkg.vhd to library osvvm DEBUG - Re-using cached VHDL parse results for C:\Python34\lib\site-packages\vhdl\osvvm\TranscriptPkg.vhd with content_hash=sha1:d3d92b52ea207583ad0afcf854472a71db3f8984 DEBUG - Adding primary design unit (package) transcriptpkg DEBUG - Adding secondary design unit (package body) for package transcriptpkg DEBUG - The file 'C:\Python34\lib\site-packages\vhdl\osvvm\TranscriptPkg.vhd'has no components INFO - Adding library src_lib with path C:\vunittest\vunit_out\modelsim\libraries\src_lib INFO - Adding library tb_lib with path C:\vunittest\vunit_out\modelsim\libraries\tb_lib INFO - Adding source file C:\vunittest\Test\test_controller_axi_read_write.vhd to library tb_lib DEBUG - Re-using cached VHDL parse results for C:\vunittest\Test\test_controller_axi_read_write.vhd with content_hash=sha1:a3cb186496ed0e42c3290aef6d8f0250699aa108 DEBUG - Adding secondary design unit (architecture) verifiable DEBUG - The file 'C:\vunittest\Test\test_controller_axi_read_write.vhd' has no components INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\check\src\check.vhd depends on C:\Python34\lib\site-packages\vhdl\check\src\check_types.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\check\src\check.vhd depends on C:\Python34\lib\site-packages\vhdl\check\src\check_base_api.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\check\src\check.vhd depends on C:\Python34\lib\site-packages\vhdl\logging\src\log_base_api.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\check\src\check.vhd depends on C:\Python34\lib\site-packages\vhdl\logging\src\log_api.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\check\src\check.vhd depends on C:\Python34\lib\site-packages\vhdl\string_ops\src\string_ops.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\check\src\check_api.vhd depends on C:\Python34\lib\site-packages\vhdl\check\src\check_types.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\check\src\check_api.vhd depends on C:\Python34\lib\site-packages\vhdl\check\src\check_special_types200x.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\check\src\check_api.vhd depends on C:\Python34\lib\site-packages\vhdl\check\src\check_base_api.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\check\src\check_api.vhd depends on C:\Python34\lib\site-packages\vhdl\logging\src\log_types.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\check\src\check_api.vhd depends on C:\Python34\lib\site-packages\vhdl\logging\src\log_special_types200x.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\check\src\check_api.vhd depends on C:\Python34\lib\site-packages\vhdl\logging\src\log_api.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\check\src\check_base_api.vhd depends on C:\Python34\lib\site-packages\vhdl\check\src\check_types.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\check\src\check_base_api.vhd depends on C:\Python34\lib\site-packages\vhdl\check\src\check_special_types200x.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\check\src\check_base_api.vhd depends on C:\Python34\lib\site-packages\vhdl\logging\src\log_types.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\check\src\check_base_api.vhd depends on C:\Python34\lib\site-packages\vhdl\logging\src\log_special_types200x.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\check\src\check_base_api.vhd depends on C:\Python34\lib\site-packages\vhdl\logging\src\log_api.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\check\src\check_types.vhd depends on C:\Python34\lib\site-packages\vhdl\logging\src\log_types.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\check\src\check_types.vhd depends on C:\Python34\lib\site-packages\vhdl\logging\src\log_special_types200x.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\check\src\check_types.vhd depends on C:\Python34\lib\site-packages\vhdl\logging\src\log_api.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\run\src\run.vhd depends on C:\Python34\lib\site-packages\vhdl\dictionary\src\dictionary.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\run\src\run.vhd depends on C:\Python34\lib\site-packages\vhdl\run\src\stop_api.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\run\src\run_api.vhd depends on C:\Python34\lib\site-packages\vhdl\logging\src\log_types.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\run\src\run_api.vhd depends on C:\Python34\lib\site-packages\vhdl\logging\src\log_special_types200x.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\run\src\run_api.vhd depends on C:\Python34\lib\site-packages\vhdl\logging\src\log_api.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\run\src\run_api.vhd depends on C:\Python34\lib\site-packages\vhdl\check\src\check_api.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\run\src\run_api.vhd depends on C:\Python34\lib\site-packages\vhdl\check\src\check_types.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\run\src\run_api.vhd depends on C:\Python34\lib\site-packages\vhdl\string_ops\src\string_ops.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\run\src\run_api.vhd depends on C:\Python34\lib\site-packages\vhdl\run\src\run_base_api.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\run\src\run_api.vhd depends on C:\Python34\lib\site-packages\vhdl\run\src\run_types.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\run\src\run_types.vhd depends on C:\Python34\lib\site-packages\vhdl\logging\src\log_types.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\run\src\run_types.vhd depends on C:\Python34\lib\site-packages\vhdl\logging\src\log_special_types200x.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\run\src\run_types.vhd depends on C:\Python34\lib\site-packages\vhdl\logging\src\log_api.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\run\src\run_types.vhd depends on C:\Python34\lib\site-packages\vhdl\check\src\check_types.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\run\src\run_types.vhd depends on C:\Python34\lib\site-packages\vhdl\dictionary\src\dictionary.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\run\src\run_base_api.vhd depends on C:\Python34\lib\site-packages\vhdl\logging\src\log_types.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\run\src\run_base_api.vhd depends on C:\Python34\lib\site-packages\vhdl\logging\src\log_special_types200x.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\run\src\run_base_api.vhd depends on C:\Python34\lib\site-packages\vhdl\logging\src\log_api.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\run\src\run_base_api.vhd depends on C:\Python34\lib\site-packages\vhdl\check\src\check_types.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\run\src\run_base_api.vhd depends on C:\Python34\lib\site-packages\vhdl\run\src\run_types.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\run\src\run_base_api.vhd depends on C:\Python34\lib\site-packages\vhdl\run\src\run_special_types200x.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\logging\src\log_api.vhd depends on C:\Python34\lib\site-packages\vhdl\logging\src\log_types.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\logging\src\log_api.vhd depends on C:\Python34\lib\site-packages\vhdl\logging\src\log_special_types200x.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\logging\src\log_api.vhd depends on C:\Python34\lib\site-packages\vhdl\logging\src\log_base_api.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\logging\src\log_api.vhd depends on C:\Python34\lib\site-packages\vhdl\logging\src\log_formatting.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\logging\src\log_formatting.vhd depends on C:\Python34\lib\site-packages\vhdl\string_ops\src\string_ops.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\logging\src\log_formatting.vhd depends on C:\Python34\lib\site-packages\vhdl\logging\src\log_types.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\logging\src\log.vhd depends on C:\Python34\lib\site-packages\vhdl\logging\src\log_base_api.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\logging\src\log_types.vhd depends on C:\Python34\lib\site-packages\vhdl\vhdl\src\lang\lang.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\logging\src\log_types.vhd depends on C:\Python34\lib\site-packages\vhdl\vhdl\src\lib\std\textio.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\logging\src\log_types.vhd depends on C:\Python34\lib\site-packages\vhdl\string_ops\src\string_ops.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\dictionary\src\dictionary.vhd depends on C:\Python34\lib\site-packages\vhdl\string_ops\src\string_ops.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\dictionary\src\dictionary.vhd depends on C:\Python34\lib\site-packages\vhdl\vhdl\src\lang\lang.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\dictionary\src\dictionary.vhd depends on C:\Python34\lib\site-packages\vhdl\logging\src\log_types.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\dictionary\src\dictionary.vhd depends on C:\Python34\lib\site-packages\vhdl\check\src\check_api.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\path\src\path.vhd depends on C:\Python34\lib\site-packages\vhdl\string_ops\src\string_ops.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\logging\src\log_base.vhd depends on C:\Python34\lib\site-packages\vhdl\logging\src\log_types.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\logging\src\log_special_types200x.vhd depends on C:\Python34\lib\site-packages\vhdl\vhdl\src\lang\lang.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\logging\src\log_special_types200x.vhd depends on C:\Python34\lib\site-packages\vhdl\vhdl\src\lib\std\textio.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\logging\src\log_special_types200x.vhd depends on C:\Python34\lib\site-packages\vhdl\string_ops\src\string_ops.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\logging\src\log_special_types200x.vhd depends on C:\Python34\lib\site-packages\vhdl\logging\src\log_formatting.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\logging\src\log_special_types200x.vhd depends on C:\Python34\lib\site-packages\vhdl\logging\src\log_types.vhd
INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\logging\src\log_base_api.vhd depends on C:\Python34\lib\site-packages\vhdl\vhdl\src\lib\std\textio.vhd
INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\logging\src\log_base_api.vhd depends on C:\Python34\lib\site-packages\vhdl\logging\src\log_types.vhd
INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\logging\src\log_base_api.vhd depends on C:\Python34\lib\site-packages\vhdl\logging\src\log_special_types200x.vhd
INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\logging\src\log_base_api.vhd depends on C:\Python34\lib\site-packages\vhdl\logging\src\log_formatting.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\check\src\check_base.vhd depends on C:\Python34\lib\site-packages\vhdl\check\src\check_types.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\check\src\check_base.vhd depends on C:\Python34\lib\site-packages\vhdl\logging\src\log_base_api.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\check\src\check_base.vhd depends on C:\Python34\lib\site-packages\vhdl\logging\src\log_api.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\check\src\check_special_types200x.vhd depends on C:\Python34\lib\site-packages\vhdl\logging\src\log_types.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\check\src\check_special_types200x.vhd depends on C:\Python34\lib\site-packages\vhdl\logging\src\log_special_types200x.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\check\src\check_special_types200x.vhd depends on C:\Python34\lib\site-packages\vhdl\logging\src\log_api.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\check\src\check_special_types200x.vhd depends on C:\Python34\lib\site-packages\vhdl\check\src\check_types.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\run\src\run_special_types200x.vhd depends on C:\Python34\lib\site-packages\vhdl\run\src\run_types.vhd
INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\vunit_context.vhd depends on C:\Python34\lib\site-packages\vhdl\vhdl\src\lang\lang.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\vunit_context.vhd depends on C:\Python34\lib\site-packages\vhdl\vhdl\src\lib\std\textio.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\vunit_context.vhd depends on C:\Python34\lib\site-packages\vhdl\string_ops\src\string_ops.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\vunit_context.vhd depends on C:\Python34\lib\site-packages\vhdl\dictionary\src\dictionary.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\vunit_context.vhd depends on C:\Python34\lib\site-packages\vhdl\path\src\path.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\vunit_context.vhd depends on C:\Python34\lib\site-packages\vhdl\logging\src\log_types.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\vunit_context.vhd depends on C:\Python34\lib\site-packages\vhdl\logging\src\log_special_types200x.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\vunit_context.vhd depends on C:\Python34\lib\site-packages\vhdl\logging\src\log_api.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\vunit_context.vhd depends on C:\Python34\lib\site-packages\vhdl\check\src\check_types.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\vunit_context.vhd depends on C:\Python34\lib\site-packages\vhdl\check\src\check_special_types200x.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\vunit_context.vhd depends on C:\Python34\lib\site-packages\vhdl\check\src\check_api.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\vunit_context.vhd depends on C:\Python34\lib\site-packages\vhdl\run\src\run_types.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\vunit_context.vhd depends on C:\Python34\lib\site-packages\vhdl\run\src\run_special_types200x.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\vunit_context.vhd depends on C:\Python34\lib\site-packages\vhdl\run\src\run_base_api.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\vunit_context.vhd depends on C:\Python34\lib\site-packages\vhdl\run\src\run_api.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\osvvm\AlertLogPkg.vhd depends on C:\Python34\lib\site-packages\vhdl\osvvm\OsvvmGlobalPkg.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\osvvm\AlertLogPkg.vhd depends on C:\Python34\lib\site-packages\vhdl\osvvm\TranscriptPkg.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\osvvm\AlertLogPkg.vhd depends on C:\Python34\lib\site-packages\vhdl\osvvm\NamePkg.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\osvvm\CoveragePkg.vhd depends on C:\Python34\lib\site-packages\vhdl\osvvm\TranscriptPkg.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\osvvm\CoveragePkg.vhd depends on C:\Python34\lib\site-packages\vhdl\osvvm\AlertLogPkg.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\osvvm\CoveragePkg.vhd depends on C:\Python34\lib\site-packages\vhdl\osvvm\RandomBasePkg.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\osvvm\CoveragePkg.vhd depends on C:\Python34\lib\site-packages\vhdl\osvvm\RandomPkg.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\osvvm\CoveragePkg.vhd depends on C:\Python34\lib\site-packages\vhdl\osvvm\NamePkg.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\osvvm\CoveragePkg.vhd depends on C:\Python34\lib\site-packages\vhdl\osvvm\MessagePkg.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\osvvm\CoveragePkg.vhd depends on C:\Python34\lib\site-packages\vhdl\osvvm\OsvvmGlobalPkg.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\osvvm\MessagePkg.vhd depends on C:\Python34\lib\site-packages\vhdl\osvvm\OsvvmGlobalPkg.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\osvvm\MessagePkg.vhd depends on C:\Python34\lib\site-packages\vhdl\osvvm\AlertLogPkg.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\osvvm\OsvvmContext.vhd depends on C:\Python34\lib\site-packages\vhdl\osvvm\NamePkg.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\osvvm\OsvvmContext.vhd depends on C:\Python34\lib\site-packages\vhdl\osvvm\TranscriptPkg.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\osvvm\OsvvmContext.vhd depends on C:\Python34\lib\site-packages\vhdl\osvvm\OsvvmGlobalPkg.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\osvvm\OsvvmContext.vhd depends on C:\Python34\lib\site-packages\vhdl\osvvm\AlertLogPkg.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\osvvm\OsvvmContext.vhd depends on C:\Python34\lib\site-packages\vhdl\osvvm\RandomPkg.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\osvvm\OsvvmContext.vhd depends on C:\Python34\lib\site-packages\vhdl\osvvm\CoveragePkg.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\osvvm\OsvvmGlobalPkg.vhd depends on C:\Python34\lib\site-packages\vhdl\osvvm\NamePkg.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\osvvm\RandomBasePkg.vhd depends on C:\Python34\lib\site-packages\vhdl\osvvm\OsvvmGlobalPkg.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\osvvm\RandomBasePkg.vhd depends on C:\Python34\lib\site-packages\vhdl\osvvm\AlertLogPkg.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\osvvm\RandomPkg.vhd depends on C:\Python34\lib\site-packages\vhdl\osvvm\OsvvmGlobalPkg.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\osvvm\RandomPkg.vhd depends on C:\Python34\lib\site-packages\vhdl\osvvm\AlertLogPkg.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\osvvm\RandomPkg.vhd depends on C:\Python34\lib\site-packages\vhdl\osvvm\RandomBasePkg.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\osvvm\RandomPkg.vhd depends on C:\Python34\lib\site-packages\vhdl\osvvm\SortListPkg_int.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\osvvm\SortListPkg_int.vhd depends on C:\Python34\lib\site-packages\vhdl\osvvm\OsvvmGlobalPkg.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\osvvm\SortListPkg_int.vhd depends on C:\Python34\lib\site-packages\vhdl\osvvm\AlertLogPkg.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\check\src\check.vhd depends on C:\Python34\lib\site-packages\vhdl\check\src\check_api.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\run\src\run.vhddepends on C:\Python34\lib\site-packages\vhdl\run\src\run_api.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\logging\src\log.vhd depends on C:\Python34\lib\site-packages\vhdl\logging\src\log_api.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\logging\src\log_base.vhd depends on C:\Python34\lib\site-packages\vhdl\logging\src\log_base_api.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\check\src\check_base.vhd depends on C:\Python34\lib\site-packages\vhdl\check\src\check_base_api.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\run\src\run_base.vhd depends on C:\Python34\lib\site-packages\vhdl\run\src\run_base_api.vhd INFO - Adding dependency: C:\Python34\lib\site-packages\vhdl\run\src\stop_body_2008.vhd depends on C:\Python34\lib\site-packages\vhdl\run\src\stop_api.vhd WARNING - failed to find a primary design unit 'testctrl' in library 'tb_lib' DEBUG - C:\Python34\lib\site-packages\vhdl\vhdl\src\lang\lang.vhd has same hash file and must not be recompiled DEBUG - C:\Python34\lib\site-packages\vhdl\vhdl\src\lib\std\textio.vhd has same hash file and must not be recompiled DEBUG - C:\Python34\lib\site-packages\vhdl\string_ops\src\string_ops.vhd has same hash file and must not be recompiled DEBUG - C:\Python34\lib\site-packages\vhdl\check\src\check.vhd has same hash file and must not be recompiled DEBUG - C:\Python34\lib\site-packages\vhdl\check\src\check_api.vhd has same hash file and must not be recompiled DEBUG - C:\Python34\lib\site-packages\vhdl\check\src\check_base_api.vhd has same hash file and must not be recompiled DEBUG - C:\Python34\lib\site-packages\vhdl\check\src\check_types.vhd has samehash file and must not be recompiled DEBUG - C:\Python34\lib\site-packages\vhdl\run\src\stop_api.vhd has same hashfile and must not be recompiled DEBUG - C:\Python34\lib\site-packages\vhdl\run\src\run.vhd has same hash fileand must not be recompiled DEBUG - C:\Python34\lib\site-packages\vhdl\run\src\run_api.vhd has same hash file and must not be recompiled DEBUG - C:\Python34\lib\site-packages\vhdl\run\src\run_types.vhd has same hash file and must not be recompiled DEBUG - C:\Python34\lib\site-packages\vhdl\run\src\run_base_api.vhd has same hash file and must not be recompiled DEBUG - C:\Python34\lib\site-packages\vhdl\logging\src\log_api.vhd has same hash file and must not be recompiled DEBUG - C:\Python34\lib\site-packages\vhdl\logging\src\log_formatting.vhd hassame hash file and must not be recompiled DEBUG - C:\Python34\lib\site-packages\vhdl\logging\src\log.vhd has same hash file and must not be recompiled DEBUG - C:\Python34\lib\site-packages\vhdl\logging\src\log_types.vhd has samehash file and must not be recompiled DEBUG - C:\Python34\lib\site-packages\vhdl\dictionary\src\dictionary.vhd has same hash file and must not be recompiled DEBUG - C:\Python34\lib\site-packages\vhdl\path\src\path.vhd has same hash file and must not be recompiled DEBUG - C:\Python34\lib\site-packages\vhdl\logging\src\log_base.vhd has same hash file and must not be recompiled DEBUG - C:\Python34\lib\site-packages\vhdl\logging\src\log_special_types200x.vhd has same hash file and must not be recompiled DEBUG - C:\Python34\lib\site-packages\vhdl\logging\src\log_base_api.vhd has same hash file and must not be recompiled DEBUG - C:\Python34\lib\site-packages\vhdl\check\src\check_base.vhd has same hash file and must not be recompiled DEBUG - C:\Python34\lib\site-packages\vhdl\check\src\check_special_types200x.vhd has same hash file and must not be recompiled DEBUG - C:\Python34\lib\site-packages\vhdl\run\src\run_base.vhd has same hashfile and must not be recompiled DEBUG - C:\Python34\lib\site-packages\vhdl\run\src\run_special_types200x.vhd has same hash file and must not be recompiled DEBUG - C:\Python34\lib\site-packages\vhdl\vunit_context.vhd has same hash file and must not be recompiled DEBUG - C:\Python34\lib\site-packages\vhdl\run\src\stop_body_2008.vhd has same hash file and must not be recompiled DEBUG - C:\Python34\lib\site-packages\vhdl\osvvm\AlertLogPkg.vhd has same hash file and must not be recompiled DEBUG - C:\Python34\lib\site-packages\vhdl\osvvm\CoveragePkg.vhd has same has file and must not be recompiled DEBUG - C:\Python34\lib\site-packages\vhdl\osvvm\MessagePkg.vhd has same hash file and must not be recompiled DEBUG - C:\Python34\lib\site-packages\vhdl\osvvm\NamePkg.vhd has same hash file and must not be recompiled DEBUG - C:\Python34\lib\site-packages\vhdl\osvvm\OsvvmContext.vhd has same hash file and must not be recompiled DEBUG - C:\Python34\lib\site-packages\vhdl\osvvm\OsvvmGlobalPkg.vhd has same hash file and must not be recompiled DEBUG - C:\Python34\lib\site-packages\vhdl\osvvm\RandomBasePkg.vhd has same hash file and must not be recompiled DEBUG - C:\Python34\lib\site-packages\vhdl\osvvm\RandomPkg.vhd has same hash file and must not be recompiled DEBUG - C:\Python34\lib\site-packages\vhdl\osvvm\SortListPkg_int.vhd has samehash file and must not be recompiled DEBUG - C:\Python34\lib\site-packages\vhdl\osvvm\TranscriptPkg.vhd has same hash file and must not be recompiled DEBUG - C:\vunittest\Test\test_controller_axi_read_write.vhd has no vunit_hash file at C:\vunittest\vunit_out\modelsim\libraries\tb_lib\c5a724f2c5c9deb15844e1a76f3a02d5dd42d2c0\test_controller_axi_read_write.vhd.vunit_hash and must be recompiled Compiling C:\vunittest\Test\test_controller_axi_read_write.vhd into tb_lib ... DEBUG - Started process with pid=28868: 'vcom -quiet -modelsimini C:\vunittest\vunit_out\modelsim\modelsim.ini -2008 -work tb_lib C:\vunittest\Test\test_controller_axi_read_write.vhd' \ Error: (vcom-11) Could not find work.testctrl.

\ Error: C:\vunittest\Test\test_controller_axi_read_write.vhd(1): VHDL Compiler exiting DEBUG - Process with pid=28868 terminated with code=6 DEBUG - Process with pid=28868 terminated with code=6

kraigher commented 9 years ago

@jarickc Judging from the debug output you have not added any file which contains a "testctrl" entity. What is the name of the file that is supposed to contain it?

kraigher commented 9 years ago

There is even a warning from VUnit itself that the entity referenced is not found.

WARNING - failed to find a primary design unit 'testctrl' in library 'tb_lib'
jarickc commented 9 years ago

This was run on the code from earlier in this post. There are two files both in the test folder. One is the entity the other is the architecture. The source code for both and the run.py is above.

kraigher commented 9 years ago

@jarickc But what is the name of the file supposed to contain the entity? That I cannot figure out from your information. From looking at the debug log I can find that the verifiable architecture is found in test_controller_axi_read_write.vhd. But since there is no mention of any testctrl entity, expect the WARNING that it is not found, in the VUnit debug log my top guess is that the file containing it is not even added to the VUnit project for some reason. Does it match the pattern you have specified in the run.py file?

kraigher commented 9 years ago

@jarickc Actually looking at the debug log the only file I can see that you added is test_controller_axi_read_write.vhd into tb_lib and nothing into src_lib

jarickc commented 9 years ago

I found my mistake.

The entity files extension was ".vhdl" Which explains why Quartus handled it.

kraigher commented 9 years ago

Great! Problem solved.