VUnit / vunit

VUnit is a unit testing framework for VHDL/SystemVerilog
http://vunit.github.io/
Other
722 stars 260 forks source link

42 tests fail #941

Closed yurivict closed 9 months ago

yurivict commented 1 year ago

log

Version: 4.7.0 python-3.9 ghdl-3.0.0 OS: FreeBSD 13.2

umarcor commented 1 year ago

Did you install VUnit? Errors are: ModuleNotFoundError: No module named 'vunit'.

You need to install VUnit locally (you can use a venv, tox, nox, etc.) because those tests do use VUnit itself.

LarsAsplund commented 1 year ago

@yurivict Any updates on this? Still a problem?

PhilipBotha commented 9 months ago

I had to add the path to the directory where vunit is installed to PYTHONPATH. This was WSL.

yurivict commented 9 months ago

vunit wasn't available for some tests. When I added the path to PYTHONPATH - the problem disappeared.

Thank you!