VUnit / vunit

VUnit is a unit testing framework for VHDL/SystemVerilog
http://vunit.github.io/
Other
696 stars 250 forks source link

Fix regex for VHDL component instantiations #990

Open javValverde opened 4 months ago

javValverde commented 4 months ago