WangXuan95 / BSV_Tutorial_cn

一篇全面的 Bluespec SystemVerilog (BSV) 中文教程,介绍了BSV的调度、FIFO数据流、多态等高级特性,展示了BSV相比于传统Verilog开发的优势。
https://gitee.com/wangxuan95/BSV_Tutorial_cn
GNU General Public License v3.0
481 stars 40 forks source link

13.1 SPIFlash 读写器 #29

Open zhouyecs opened 2 weeks ago

zhouyecs commented 2 weeks ago

结果展示部分,命令改成bsvbuild.sh -vw mkTb TbSPIFlashController.bsv

zhouyecs commented 2 weeks ago

催更13.1和13.3的原理介绍