WarWithinMe / better-align

A vscode extension to provide better vertical alignment
Other
75 stars 39 forks source link

assignments in vhdl := and <= #61

Open JulienCa opened 4 years ago

JulienCa commented 4 years ago

Hello Could you add the assignments := and <= They are used in VHDL

Brandon-Valley commented 4 years ago

I would like this as well

wleoncio commented 4 years ago

I could work on this, add at least another one (<- used in R) and issue a PR, but I'm not sure this project is even active anymore. :-\

Brandon-Valley commented 4 years ago

Making the change and issuing a PR could be a good way to find out. Worst case scenario, you could always make your own fork. :)