Wilfred / ag.el

An Emacs frontend to The Silver Searcher
http://agel.readthedocs.org/en/latest/
525 stars 61 forks source link

ag-project-regexp has been escaping the user provided regexp pattern. #94

Closed kevinwaddle closed 9 years ago

kevinwaddle commented 9 years ago

This makes it impossible to do a true PCRE regexp search with ag-project-regexp.

It looks to have started when ag/read-from-minibuffer was introduced.

These commits should fix this, while still escaping the default if no user-input regexp is provided.

Wilfred commented 9 years ago

Argh, thanks for spotting this. You can see I use the regexp commands far less!

I don't think we should be escaping regexps at all in ag/read-from-minibuffer, so I've amended your commit and merged that in e5613b1. Thanks :)

Let me know if I've missed anything.