Xilinx / PYNQ-HelloWorld

This repository contains a "Hello World" introduction application to the Xilinx PYNQ framework.
http://www.pynq.io/
BSD 3-Clause "New" or "Revised" License
91 stars 41 forks source link

Error Synthesising the resizer HLS #22

Open javos65 opened 3 years ago

javos65 commented 3 years ago

Dear, We try to use your example for demo PYNQ on the Ultra96. We use vitis 20.02 package on Ubuntu20.04, synthesing the resize HLS IP runs in code errors:

ERROR: [HLS 207-3332] type 'hls::stream<DATATYPE, 2>' (aka 'stream<ap_uint<24>, 2>') does not provide a subscript operator: xf_resize_accel.cpp:42:11 ERROR: [HLS 207-3332] type 'hls::stream<DATATYPE, 2>' (aka 'stream<ap_uint<24>, 2>') does not provide a subscript operator: xf_resize_accel.cpp:62:38 ERROR: [HLS 207-2538] declaration of 'resize_accel' has a different language linkage: xf_resize_accel.cpp:68:53

Before we dig deeper into the C++ code, is this related to HLS settings -as we use vitis_hls, not the 2019 vivado_hls ? Or could the Vitis Vision-library have changed, we copied it from the repo.

rahman-tud commented 3 years ago

I am facing the same problem in Vitis HLS v2020.1. Did you get any workaround?