Xilinx / kria-vitis-platforms

Kria KV260 Vitis platforms and overlays
Apache License 2.0
83 stars 39 forks source link

support for vivado 2023.2? #22

Open the-oeni opened 8 months ago

the-oeni commented 8 months ago

Is it planned to support Vivado 2023.2?

I didn't check the repository in advance and installed 2023.2 on my system and when I run:

cd kv260/platforms/vivado/kv260_ispMipiRx_vcu_DP
make xsa

I got the following error:

ERROR: [IP_Flow 19-3476] Tcl error in create_gui procedure for BD Cell 'clk_wiz_audio'. expected floating-point number but got "Unable to get value from speedsfile for keyword MM"
ERROR: [IP_Flow 19-3428] Failed to create Customization object clk_wiz_audio
CRITICAL WARNING: [IP_Flow 19-5622] Failed to create IP instance 'kv260_ispMipiRx_vcu_DP_clk_wiz_audio_0'. Failed to customize IP instance 'kv260_ispMipiRx_vcu_DP_clk_wiz_audio_0'. Failed to load customization data
ERROR: [BD 41-1712] Create IP failed with errors
ERROR: [BD 5-7] Error: running create_bd_cell  -vlnv xilinx.com:ip:clk_wiz:6.0 -type ip -name clk_wiz_audio .
create_bd_cell: Time (s): cpu = 00:00:05 ; elapsed = 00:00:21 . Memory (MB): peak = 2500.379 ; gain = 931.531 ; free physical = 5007 ; free virtual = 41952
ERROR: [Common 17-39] 'create_bd_cell' failed due to earlier errors.

    while executing
"create_bd_cell -type ip -vlnv xilinx.com:ip:clk_wiz clk_wiz_audio "
    (procedure "create_hier_cell_audio_ss_0" line 78)
    invoked from within
"create_hier_cell_audio_ss_0 [current_bd_instance .] audio_ss_0"
    (procedure "create_root_design" line 52)
    invoked from within
"create_root_design """
    (file "./scripts/config_bd.tcl" line 884)

    while executing
"source $bd_tcl_dir/config_bd.tcl"
    (file "scripts/main.tcl" line 47)
INFO: [Common 17-206] Exiting Vivado at Sun Dec 17 10:49:26 2023...
chkohn commented 8 months ago

@the-oeni we will likely update the repo sometime next year for 2023.2 tools but I don't have an exact timeline for you.