Xilinx / systemctlm-cosim-demo

QEMU libsystemctlm-soc co-simulation demos.
https://xilinx-wiki.atlassian.net/wiki/spaces/A/pages/862421112/Co-simulation
Other
116 stars 46 forks source link

ZYNPMP DEMO COMPILE ERROR #31

Open stephen-pd opened 1 year ago

stephen-pd commented 1 year ago

Hi! It is a great demo and help me a lot, but I got some trouble .I am using ubuntu 20.4 to compile the demo, my gcc version is g++ (Ubuntu 9.4.0-1ubuntu1~20.04.1) 9.4.0,I compile the demo just as the REAMDME .but when I compile the demo , it outputs: g++ -L /home/stephenpd/Systemctlm-cosim-demo//cosim//lib-linux64 -L/home/stephenpd/Systemctlm-cosim-demo//cosim//lib-linux64 -o zynq_demo zynq_demo.o libsystemctlm-soc/libremote-port/safeio.o libsystemctlm-soc/libremote-port/remote-port-proto.o libsystemctlm-soc/libremote-port/remote-port-sk.o trace.o debugdev.o demo-dma.o xilinx-axidma.o libsystemctlm-soc/soc/xilinx/zynq/xilinx-zynq.o libsystemctlm-soc/soc/xilinx/zynqmp/xilinx-zynqmp.o libsystemctlm-soc/soc/xilinx/versal/xilinx-versal.o libsystemctlm-soc/soc/xilinx/versal-net/xilinx-versal-net.o libsystemctlm-soc/tests/test-modules/memory.o libsystemctlm-soc/libremote-port/remote-port-tlm.o libsystemctlm-soc/libremote-port/remote-port-tlm-memory-master.o libsystemctlm-soc/libremote-port/remote-port-tlm-memory-slave.o libsystemctlm-soc/libremote-port/remote-port-tlm-wires.o libsystemctlm-soc/libremote-port/remote-port-tlm-ats.o libsystemctlm-soc/libremote-port/remote-port-tlm-pci-ep.o libsystemctlm-soc/soc/pci/core/pci-device-base.o libsystemctlm-soc/soc/dma/xilinx/mcdma/mcdma.o libsystemctlm-soc/soc/net/ethernet/xilinx/mrmac/mrmac.o verilated_vcd_c.o verilated_vcd_sc.o obj_dir/verilated.o -pthread -lsystemc obj_dir/Vapb_timerALL.a obj_dir/Vaxilite_devALL.a obj_dir/Vaxifull_devALL.a
/usr/bin/ld: zynq_demo.o: in function `_GLOBAL
sub_IZ5usagev': /home/stephenpd/cosim//include/sysc/kernel/sc_ver.h:182: undefined reference to sc_core::sc_api_version_2_3_3_cxx201103L<&sc_core::SC_DISABLE_VIRTUAL_BIND_UNDEFINED_>::sc_api_version_2_3_3_cxx201103L(sc_core::sc_writer_policy)' /usr/bin/ld: trace.o: in function_GLOBALsub_IZ5tracePN7sc_core13sc_trace_fileERKNS_9sc_moduleEPKc': /home/stephenpd/cosim//include/sysc/kernel/sc_ver.h:182: undefined reference to sc_core::sc_api_version_2_3_3_cxx201103L<&sc_core::SC_DISABLE_VIRTUAL_BIND_UNDEFINED_>::sc_api_version_2_3_3_cxx201103L(sc_core::sc_writer_policy)' /usr/bin/ld: debugdev.o: in function_GLOBAL__sub_IZN8debugdevC2EN7sc_core14sc_module_nameE': /home/stephenpd/cosim//include/sysc/kernel/sc_ver.h:182: undefined reference to sc_core::sc_api_version_2_3_3_cxx201103L<&sc_core::SC_DISABLE_VIRTUAL_BIND_UNDEFINED_>::sc_api_version_2_3_3_cxx201103L(sc_core::sc_writer_policy)' /usr/bin/ld: demo-dma.o: in function_GLOBALsub_I__ZN7demodmaC2EN7sc_core14sc_module_nameE': /home/stephenpd/cosim//include/sysc/kernel/sc_ver.h:182: undefined reference to sc_core::sc_api_version_2_3_3_cxx201103L<&sc_core::SC_DISABLE_VIRTUAL_BIND_UNDEFINED_>::sc_api_version_2_3_3_cxx201103L(sc_core::sc_writer_policy)' /usr/bin/ld: xilinx-axidma.o: in function_GLOBALsub_IZN11axidma_mm2sC2EN7sc_core14sc_module_nameEb': /home/stephenpd/cosim//include/sysc/kernel/sc_ver.h:182: undefined reference to sc_core::sc_api_version_2_3_3_cxx201103L<&sc_core::SC_DISABLE_VIRTUAL_BIND_UNDEFINED_>::sc_api_version_2_3_3_cxx201103L(sc_core::sc_writer_policy)' /usr/bin/ld: libsystemctlm-soc/soc/xilinx/zynq/xilinx-zynq.o:/home/stephenpd/cosim//include/sysc/kernel/sc_ver.h:182: more undefined references tosc_core::sc_api_version_2_3_3_cxx201103L<&sc_core::SC_DISABLE_VIRTUAL_BINDUNDEFINED>::sc_api_version_2_3_3_cxx201103L(sc_core::sc_writer_policy)' follow /usr/bin/ld: verilated_vcd_c.o: in function VerilatedVcd::open(char const*)': /usr/share/verilator/include/verilated_vcd_c.cpp:164: undefined reference toVerilated::flushCb(void (*)())' /usr/bin/ld: verilated_vcd_sc.o: in function `_GLOBAL__sub_IZN14VerilatedVcdSc13write_commentERKNSt7__cxx1112basic_stringIcSt11char_traitsIcESaIcEEE': /home/stephenpd/cosim//include/sysc/kernel/sc_ver.h:182: undefined reference to `sc_core::sc_api_version_2_3_3_cxx201103L<&sc_core::SC_DISABLE_VIRTUAL_BINDUNDEFINED>::sc_api_version_2_3_3_cxx201103L(sc_core::sc_writer_policy)' collect2: error: ld returned 1 exit status make: *** [Makefile:388: zynq_demo] Error 1 Can you help me?

franciscoIglesias commented 1 year ago

Hi Stephen,

Could you look into this reply and see if you get it going with those commands? (You can use systemc-2.3.3 instead of systemc-2.3.2)

Best regards, Francisco Iglesias