Xilinx / xfopencv

Other
321 stars 144 forks source link

Lauch HLS error in the SDx IDE #24

Closed swordwest closed 5 years ago

swordwest commented 5 years ago

I meet this error ,when I lauch HLS in the SDx IDE.

image

varunsanthaseelan commented 5 years ago

@swordwest , could you confirm if the project was built? If yes, could you list out the sequence of steps for us to try and replicate the issue?

swordwest commented 5 years ago

08:54:47 Incremental Build of configuration Release for project Fast make pre-build main-build sdsoc_make_clean Release ' ' 'Building file: ../src/xf_fast_accel.cpp' 'Invoking: SDS++ Compiler' sds++ -DPLATFORM_ZCU104 -Wall -O3 -ID:\download\fpga\zcu104-rv-ss-2018-2\petalinux\sdk\sysroots\aarch64-xilinx-linux/usr/include -ID:\download\fpga\zcu104-rv-ss-2018-2\petalinux\sdk\sysroots\aarch64-xilinx-linux/usr/include/features -ID:\download\fpga\zcu104-rv-ss-2018-2\petalinux\sdk\sysroots\aarch64-xilinx-linux/usr/include/glib-2.0 -ID:\download\fpga\zcu104-rv-ss-2018-2\petalinux\sdk\sysroots\aarch64-xilinx-linux/usr/lib/glib-2.0/include -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/aarch64-linux-gnu/include -I"../src" -ID:\download\fpga\zcu104-rv-ss-2018-2\zcu104_rv_ss/sw/a53_linux/a53_linux/inc/xfopencv -I"D:\download\fpga\zcu104-rv-ss-2018-2\petalinux\sdk\sysroots\aarch64-xilinx-linux/usr/include/c++/7.2.0" -I"D:\download\fpga\zcu104-rv-ss-2018-2\petalinux\sdk\sysroots\aarch64-xilinx-linux/usr/include/c++/7.2.0/aarch64-xilinx-linux" -I"D:\download\fpga\zcu104-rv-ss-2018-2\petalinux\sdk\sysroots\aarch64-xilinx-linux/usr/include/c++/7.2.0/backward" -I"C:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/aarch64-linux-gnu/include/c++/7.2.1" -I"C:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/aarch64-linux-gnu/include/c++/7.2.1/aarch64-linux-gnu" -I"C:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/aarch64-linux-gnu/include/c++/7.2.1/backward" -I"C:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/lib/gcc/aarch64-linux-gnu/7.2.1/include" -I"C:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/lib/gcc/aarch64-linux-gnu/7.2.1/include-fixed" -c -fmessage-length=0 -MT"src/xf_fast_accel.o" -Wno-overloaded-virtual -Wno-unused-label -Wno-strict-overflow -Wno-uninitialized -Wno-unused-function -Wno-unused-variable -Wno-unknown-attributes -Wno-unused-local-typedefs -Wno-sign-compare -ID:\download\fpga\zcu104-rv-ss-2018-2\petalinux\sdk\sysroots\aarch64-xilinx-linux/usr/include/c++/6.2.1 -ID:\download\fpga\zcu104-rv-ss-2018-2\petalinux\sdk\sysroots\aarch64-xilinx-linux/usr/include/c++/6.2.1/aarch64-xilinx-linux -ID:\download\fpga\zcu104-rv-ss-2018-2\petalinux\sdk\sysroots\aarch64-xilinx-linux/usr/include/c++/6.2.1/backward -ID:\download\fpga\zcu104-rv-ss-2018-2\petalinux\sdk\sysroots\aarch64-xilinx-linux/usr/include -ID:\download\fpga\zcu104-rv-ss-2018-2\petalinux\sdk\sysroots\aarch64-xilinx-linux/usr/include/glib-2.0 -ID:\download\fpga\zcu104-rv-ss-2018-2\petalinux\sdk\sysroots\aarch64-xilinx-linux/usr/lib/glib-2.0/include -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/aarch64-linux-gnu/include/c++/6.2.1 -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/aarch64-linux-gnu/include/c++/6.2.1/aarch64-linux-gnu -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/aarch64-linux-gnu/include/c++/6.2.1/backward -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/lib/gcc/aarch64-linux-gnu/6.2.1/include -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/lib/gcc/aarch64-linux-gnu/6.2.1/include-fixed -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/aarch64-linux-gnu/include -Wno-overloaded-virtual -Wno-unused-label -Wno-strict-overflow -Wno-uninitialized -Wno-unused-function -Wno-unused-variable -Wno-unknown-attributes -Wno-unused-local-typedefs -Wno-sign-compare -mstrict-align -hls-target 1 -MMD -MP -MF"src/xf_fast_accel.d" -MT"src/xf_fast_accel.o" -o "src/xf_fast_accel.o" "../src/xf_fast_accel.cpp" -sds-hw "xf::fast<1,0,2160,3840,1>" xf_fast_accel.cpp -files ../libs/xfopencv/include/features/xf_fast.hpp -clkid 2 -sds-end -sds-sys-config a53_linux -sds-proc a53_linux -sds-pf "D:\download\fpga\zcu104-rv-ss-2018-2\zcu104_rv_ss" Processing -sds-hw block for xf::fast<1,0,2160,3840,1> Create data motion intermediate representation

C:\xf\Fast\Release>C:\Xilinx\SDx\2018.2\llvm-clang\win64\llvm\bin\clang.exe -ID:\download\fpga\zcu104-rv-ss-2018-2\petalinux\sdk\sysroots\aarch64-xilinx-linux/usr/include -ID:\download\fpga\zcu104-rv-ss-2018-2\petalinux\sdk\sysroots\aarch64-xilinx-linux/usr/include/features -ID:\download\fpga\zcu104-rv-ss-2018-2\petalinux\sdk\sysroots\aarch64-xilinx-linux/usr/include/glib-2.0 -ID:\download\fpga\zcu104-rv-ss-2018-2\petalinux\sdk\sysroots\aarch64-xilinx-linux/usr/lib/glib-2.0/include -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/aarch64-linux-gnu/include -I../src -ID:\download\fpga\zcu104-rv-ss-2018-2\zcu104_rv_ss/sw/a53_linux/a53_linux/inc/xfopencv -ID:\download\fpga\zcu104-rv-ss-2018-2\petalinux\sdk\sysroots\aarch64-xilinx-linux/usr/include/c++/7.2.0 -ID:\download\fpga\zcu104-rv-ss-2018-2\petalinux\sdk\sysroots\aarch64-xilinx-linux/usr/include/c++/7.2.0/aarch64-xilinx-linux -ID:\download\fpga\zcu104-rv-ss-2018-2\petalinux\sdk\sysroots\aarch64-xilinx-linux/usr/include/c++/7.2.0/backward -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/aarch64-linux-gnu/include/c++/7.2.1 -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/aarch64-linux-gnu/include/c++/7.2.1/aarch64-linux-gnu -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/aarch64-linux-gnu/include/c++/7.2.1/backward -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/lib/gcc/aarch64-linux-gnu/7.2.1/include -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/lib/gcc/aarch64-linux-gnu/7.2.1/include-fixed -ID:\download\fpga\zcu104-rv-ss-2018-2\petalinux\sdk\sysroots\aarch64-xilinx-linux/usr/include/c++/6.2.1 -ID:\download\fpga\zcu104-rv-ss-2018-2\petalinux\sdk\sysroots\aarch64-xilinx-linux/usr/include/c++/6.2.1/aarch64-xilinx-linux -ID:\download\fpga\zcu104-rv-ss-2018-2\petalinux\sdk\sysroots\aarch64-xilinx-linux/usr/include/c++/6.2.1/backward -ID:\download\fpga\zcu104-rv-ss-2018-2\petalinux\sdk\sysroots\aarch64-xilinx-linux/usr/include -ID:\download\fpga\zcu104-rv-ss-2018-2\petalinux\sdk\sysroots\aarch64-xilinx-linux/usr/include/glib-2.0 -ID:\download\fpga\zcu104-rv-ss-2018-2\petalinux\sdk\sysroots\aarch64-xilinx-linux/usr/lib/glib-2.0/include -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/aarch64-linux-gnu/include/c++/6.2.1 -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/aarch64-linux-gnu/include/c++/6.2.1/aarch64-linux-gnu -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/aarch64-linux-gnu/include/c++/6.2.1/backward -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/lib/gcc/aarch64-linux-gnu/6.2.1/include -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/lib/gcc/aarch64-linux-gnu/6.2.1/include-fixed -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/aarch64-linux-gnu/include -DPLATFORM_ZCU104 -Wall -fmessage-length=0 -Wno-overloaded-virtual -Wno-unused-label -Wno-strict-overflow -Wno-uninitialized -Wno-unused-function -Wno-unused-variable -Wno-unknown-attributes -Wno-unused-local-typedefs -Wno-sign-compare -Wno-overloaded-virtual -Wno-unused-label -Wno-strict-overflow -Wno-uninitialized -Wno-unused-function -Wno-unused-variable -Wno-unknown-attributes -Wno-unused-local-typedefs -Wno-sign-compare -mstrict-align -MMD -MP -D SDSCC -target aarch64-linux-gnu -mcpu=cortex-a53 -O0 -g -I D:/download/fpga/zcu104-rv-ss-2018-2/zcu104_rv_ss/sw/a53_linux/a53_linux/inc/xfopencv -I C:/Xilinx/SDx/2018.2/target/aarch64-linux/include -D HLS_NO_XIL_FPO_LIB -I C:/Xilinx/Vivado/2018.2/include -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/aarch64-linux-gnu/include/c++/7.2.1 -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/aarch64-linux-gnu/include/c++/7.2.1/aarch64-linux-gnu -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/aarch64-linux-gnu/include/c++/7.2.1/backward -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/lib/gcc/aarch64-linux-gnu/7.2.1/include -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/lib/gcc/aarch64-linux-gnu/7.2.1/include-fixed -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/aarch64-linux-gnu/include -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/aarch64-linux-gnu/libc/usr/include -std=c++11 -emit-llvm -S C:/xf/Fast/src/xf_fast_accel.cpp -o C:/xf/Fast/Release/_sds/.llvm/src/xf_fast_accel.s C:/Xilinx/Vivado/2018.2/include/ap_int_special.h:99:1: warning: 'complex' defined as a struct template here but previously declared as a class template [-Wmismatched-tags] struct complex<ap_int<_AP_W> > { ^ C:/Xilinx/Vivado/2018.2/include/ap_int_special.h:71:24: note: did you mean struct here? template class complex; ^~~~~ struct C:/Xilinx/Vivado/2018.2/include/ap_fixed_special.h:99:1: warning: 'complex' defined as a struct template here but previously declared as a class template [-Wmismatched-tags] struct complex<ap_fixed<_AP_W, _AP_I, _AP_Q, _AP_O, _AP_N> > { ^ C:/Xilinx/Vivado/2018.2/include/ap_fixed_special.h:71:24: note: did you mean struct here? template class complex; ^~~~~ struct C:/Xilinx/Vivado/2018.2/include/ap_int_special.h:71:24: note: did you mean struct here? template class complex; ^~~~~ struct D:\download\fpga\zcu104-rv-ss-2018-2\zcu104_rv_ss/sw/a53_linux/a53_linux/inc/xfopencv\features/xf_fast.hpp:133:52: warning: suggest braces around initialization of subobject [-Wmissing-braces] short int flag_d[(1 << XF_BITSHIFT(NPC))][NUM] = {0}, flag_val[(1 << XF_BITSHIFT(NPC))][NUM] = {0}; ^ {} D:\download\fpga\zcu104-rv-ss-2018-2\zcu104_rv_ss/sw/a53_linux/a53_linux/inc/xfopencv\features/xf_fast.hpp:364:6: note: in instantiation of function template specialization 'xf::xFfastProc<1, 0, 0, 7, 49>' requested here xFfastProc<NPC, WORDWIDTH,DEPTH, WIN_SZ, WIN_SZ_SQ>(OutputValues_percycle,src_buf_temp_med_apply, WIN_SZ,_threshold,pack_corners); ^ D:\download\fpga\zcu104-rv-ss-2018-2\zcu104_rv_ss/sw/a53_linux/a53_linux/inc/xfopencv\features/xf_fast.hpp:542:3: note: in instantiation of function template specialization 'xf::ProcessFast<2160, 3840, 0, 1, 0, 3843, 7, 49>' requested here ProcessFast<ROWS, COLS, DEPTH, NPC, WORDWIDTH, TC, WIN_SZ, WIN_SZ_SQ>(_src_mat, _out_mat, buf, src_buf,OutputValues, P0, img_width, img_height, shift_x, row_ind, row,win_size,_threshold,pack_corners); ^ D:\download\fpga\zcu104-rv-ss-2018-2\zcu104_rv_ss/sw/a53_linux/a53_linux/inc/xfopencv\features/xf_fast.hpp:907:3: note: in instantiation of function template specialization 'xf::xFfast7x7<2160, 3840, 0, 1, 0, 3843, 7, 49>' requested here xFfast7x7<ROWS,COLS,DEPTH,NPC,WORDWIDTH_SRC,(COLS>>XF_BITSHIFT(NPC))+(7>>1),7, 7*7>(_strm_in, _dst,7,_image_height,_image_width,_threshold); ^ D:\download\fpga\zcu104-rv-ss-2018-2\zcu104_rv_ss/sw/a53_linux/a53_linux/inc/xfopencv\features/xf_fast.hpp:955:2: note: in instantiation of function template specialization 'xf::xFFastCornerDetection<2160, 3840, 0, 1, 0, 9, 1>' requested here xFFastCornerDetection<ROWS,COLS,XF_DEPTH(SRC_T,NPC),NPC,XF_WORDWIDTH(SRC_T,NPC),XF_32UW,NMS>(_src,_dst,_src_mat.rows,_src_mat.cols,_threshold); ^ C:/xf/Fast/src/xf_fast_accel.cpp:35:6: note: in instantiation of function template specialization 'xf::fast<1, 0, 2160, 3840, 1>' requested here xf::fast<NMS,XF_8UC1,HEIGHT,WIDTH,NPC1>(_src,_dst,threshold); ^ D:\download\fpga\zcu104-rv-ss-2018-2\zcu104_rv_ss/sw/a53_linux/a53_linux/inc/xfopencv\features/xf_fast.hpp:133:98: warning: suggest braces around initialization of subobject [-Wmissing-braces] short int flag_d[(1 << XF_BITSHIFT(NPC))][NUM] = {0}, flag_val[(1 << XF_BITSHIFT(NPC))][NUM] = {0}; ^ {}

C:\xf\Fast\Release>exit /b 0 Performing accelerator source linting for w0_xf_fast Performing pragma generation

C:\xf\Fast\Release>C:\Xilinx\SDx\2018.2\llvm-clang\win64\llvm\bin\clang.exe -E -ID:/download/fpga/zcu104-rv-ss-2018-2/petalinux/sdk/sysroots/aarch64-xilinx-linux/usr/include -ID:/download/fpga/zcu104-rv-ss-2018-2/petalinux/sdk/sysroots/aarch64-xilinx-linux/usr/include/features -ID:/download/fpga/zcu104-rv-ss-2018-2/petalinux/sdk/sysroots/aarch64-xilinx-linux/usr/include/glib-2.0 -ID:/download/fpga/zcu104-rv-ss-2018-2/petalinux/sdk/sysroots/aarch64-xilinx-linux/usr/lib/glib-2.0/include -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/aarch64-linux-gnu/include -IC:/xf/Fast/src -ID:/download/fpga/zcu104-rv-ss-2018-2/zcu104_rv_ss/sw/a53_linux/a53_linux/inc/xfopencv -ID:/download/fpga/zcu104-rv-ss-2018-2/petalinux/sdk/sysroots/aarch64-xilinx-linux/usr/include/c++/7.2.0 -ID:/download/fpga/zcu104-rv-ss-2018-2/petalinux/sdk/sysroots/aarch64-xilinx-linux/usr/include/c++/7.2.0/aarch64-xilinx-linux -ID:/download/fpga/zcu104-rv-ss-2018-2/petalinux/sdk/sysroots/aarch64-xilinx-linux/usr/include/c++/7.2.0/backward -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/aarch64-linux-gnu/include/c++/7.2.1 -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/aarch64-linux-gnu/include/c++/7.2.1/aarch64-linux-gnu -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/aarch64-linux-gnu/include/c++/7.2.1/backward -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/lib/gcc/aarch64-linux-gnu/7.2.1/include -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/lib/gcc/aarch64-linux-gnu/7.2.1/include-fixed -ID:/download/fpga/zcu104-rv-ss-2018-2/petalinux/sdk/sysroots/aarch64-xilinx-linux/usr/include/c++/6.2.1 -ID:/download/fpga/zcu104-rv-ss-2018-2/petalinux/sdk/sysroots/aarch64-xilinx-linux/usr/include/c++/6.2.1/aarch64-xilinx-linux -ID:/download/fpga/zcu104-rv-ss-2018-2/petalinux/sdk/sysroots/aarch64-xilinx-linux/usr/include/c++/6.2.1/backward -ID:/download/fpga/zcu104-rv-ss-2018-2/petalinux/sdk/sysroots/aarch64-xilinx-linux/usr/include -ID:/download/fpga/zcu104-rv-ss-2018-2/petalinux/sdk/sysroots/aarch64-xilinx-linux/usr/include/glib-2.0 -ID:/download/fpga/zcu104-rv-ss-2018-2/petalinux/sdk/sysroots/aarch64-xilinx-linux/usr/lib/glib-2.0/include -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/aarch64-linux-gnu/include/c++/6.2.1 -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/aarch64-linux-gnu/include/c++/6.2.1/aarch64-linux-gnu -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/aarch64-linux-gnu/include/c++/6.2.1/backward -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/lib/gcc/aarch64-linux-gnu/6.2.1/include -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/lib/gcc/aarch64-linux-gnu/6.2.1/include-fixed -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/aarch64-linux-gnu/include -DPLATFORM_ZCU104 -Wall -fmessage-length=0 -Wno-overloaded-virtual -Wno-unused-label -Wno-strict-overflow -Wno-uninitialized -Wno-unused-function -Wno-unused-variable -Wno-unknown-attributes -Wno-unused-local-typedefs -Wno-sign-compare -Wno-overloaded-virtual -Wno-unused-label -Wno-strict-overflow -Wno-uninitialized -Wno-unused-function -Wno-unused-variable -Wno-unknown-attributes -Wno-unused-local-typedefs -Wno-sign-compare -mstrict-align -MMD -MP -D SDSCC -m64 -target aarch64-linux-gnu -I D:/download/fpga/zcu104-rv-ss-2018-2/zcu104_rv_ss/sw/a53_linux/a53_linux/inc/xfopencv -D HLS_NO_XIL_FPO_LIB -I C:/Xilinx/SDx/2018.2/target/aarch64-linux/include -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/aarch64-linux-gnu/include/c++/7.2.1 -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/aarch64-linux-gnu/include/c++/7.2.1/aarch64-linux-gnu -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/aarch64-linux-gnu/include/c++/7.2.1/backward -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/lib/gcc/aarch64-linux-gnu/7.2.1/include -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/lib/gcc/aarch64-linux-gnu/7.2.1/include-fixed -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/aarch64-linux-gnu/include -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/aarch64-linux-gnu/libc/usr/include -IC:/xf/Fast/src -D SDSVHLS -target aarch64-linux-gnu -mcpu=cortex-a53 -O0 -g -w -I D:/download/fpga/zcu104-rv-ss-2018-2/zcu104_rv_ss/sw/a53_linux/a53_linux/inc/xfopencv -I C:/Xilinx/SDx/2018.2/target/aarch64-linux/include -D HLS_NO_XIL_FPO_LIB -I C:/Xilinx/Vivado/2018.2/include -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/aarch64-linux-gnu/include/c++/7.2.1 -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/aarch64-linux-gnu/include/c++/7.2.1/aarch64-linux-gnu -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/aarch64-linux-gnu/include/c++/7.2.1/backward -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/lib/gcc/aarch64-linux-gnu/7.2.1/include -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/lib/gcc/aarch64-linux-gnu/7.2.1/include-fixed -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/aarch64-linux-gnu/include -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/aarch64-linux-gnu/libc/usr/include -std=c++11 C:/xf/Fast/src/xf_fast_accel.cpp -o C:/xf/Fast/Release/_sds/vhls/xf_fast_accel_pp.cpp

C:\xf\Fast\Release>exit /b 0 INFO: [PragmaGen 83-3231] Successfully generated tcl script: C:/xf/Fast/Release/_sds/vhls/w0_xf_fast.tcl Moving function w0_xf_fast to Programmable Logic sds++ log file saved as C:/xf/Fast/Release/_sds/reports/sds_xf_fast_accel.log

'Finished building: ../src/xf_fast_accel.cpp' ' ' 'Building file: ../src/xf_fast_tb.cpp' 'Invoking: SDS++ Compiler' sds++ -DPLATFORM_ZCU104 -Wall -O3 -ID:\download\fpga\zcu104-rv-ss-2018-2\petalinux\sdk\sysroots\aarch64-xilinx-linux/usr/include -ID:\download\fpga\zcu104-rv-ss-2018-2\petalinux\sdk\sysroots\aarch64-xilinx-linux/usr/include/features -ID:\download\fpga\zcu104-rv-ss-2018-2\petalinux\sdk\sysroots\aarch64-xilinx-linux/usr/include/glib-2.0 -ID:\download\fpga\zcu104-rv-ss-2018-2\petalinux\sdk\sysroots\aarch64-xilinx-linux/usr/lib/glib-2.0/include -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/aarch64-linux-gnu/include -I"../src" -ID:\download\fpga\zcu104-rv-ss-2018-2\zcu104_rv_ss/sw/a53_linux/a53_linux/inc/xfopencv -I"D:\download\fpga\zcu104-rv-ss-2018-2\petalinux\sdk\sysroots\aarch64-xilinx-linux/usr/include/c++/7.2.0" -I"D:\download\fpga\zcu104-rv-ss-2018-2\petalinux\sdk\sysroots\aarch64-xilinx-linux/usr/include/c++/7.2.0/aarch64-xilinx-linux" -I"D:\download\fpga\zcu104-rv-ss-2018-2\petalinux\sdk\sysroots\aarch64-xilinx-linux/usr/include/c++/7.2.0/backward" -I"C:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/aarch64-linux-gnu/include/c++/7.2.1" -I"C:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/aarch64-linux-gnu/include/c++/7.2.1/aarch64-linux-gnu" -I"C:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/aarch64-linux-gnu/include/c++/7.2.1/backward" -I"C:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/lib/gcc/aarch64-linux-gnu/7.2.1/include" -I"C:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/lib/gcc/aarch64-linux-gnu/7.2.1/include-fixed" -c -fmessage-length=0 -MT"src/xf_fast_tb.o" -Wno-overloaded-virtual -Wno-unused-label -Wno-strict-overflow -Wno-uninitialized -Wno-unused-function -Wno-unused-variable -Wno-unknown-attributes -Wno-unused-local-typedefs -Wno-sign-compare -ID:\download\fpga\zcu104-rv-ss-2018-2\petalinux\sdk\sysroots\aarch64-xilinx-linux/usr/include/c++/6.2.1 -ID:\download\fpga\zcu104-rv-ss-2018-2\petalinux\sdk\sysroots\aarch64-xilinx-linux/usr/include/c++/6.2.1/aarch64-xilinx-linux -ID:\download\fpga\zcu104-rv-ss-2018-2\petalinux\sdk\sysroots\aarch64-xilinx-linux/usr/include/c++/6.2.1/backward -ID:\download\fpga\zcu104-rv-ss-2018-2\petalinux\sdk\sysroots\aarch64-xilinx-linux/usr/include -ID:\download\fpga\zcu104-rv-ss-2018-2\petalinux\sdk\sysroots\aarch64-xilinx-linux/usr/include/glib-2.0 -ID:\download\fpga\zcu104-rv-ss-2018-2\petalinux\sdk\sysroots\aarch64-xilinx-linux/usr/lib/glib-2.0/include -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/aarch64-linux-gnu/include/c++/6.2.1 -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/aarch64-linux-gnu/include/c++/6.2.1/aarch64-linux-gnu -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/aarch64-linux-gnu/include/c++/6.2.1/backward -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/lib/gcc/aarch64-linux-gnu/6.2.1/include -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/lib/gcc/aarch64-linux-gnu/6.2.1/include-fixed -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/aarch64-linux-gnu/include -Wno-overloaded-virtual -Wno-unused-label -Wno-strict-overflow -Wno-uninitialized -Wno-unused-function -Wno-unused-variable -Wno-unknown-attributes -Wno-unused-local-typedefs -Wno-sign-compare -mstrict-align -hls-target 1 -MMD -MP -MF"src/xf_fast_tb.d" -MT"src/xf_fast_tb.o" -o "src/xf_fast_tb.o" "../src/xf_fast_tb.cpp" -sds-hw "xf::fast<1,0,2160,3840,1>" xf_fast_accel.cpp -files ../libs/xfopencv/include/features/xf_fast.hpp -clkid 2 -sds-end -sds-sys-config a53_linux -sds-proc a53_linux -sds-pf "D:\download\fpga\zcu104-rv-ss-2018-2\zcu104_rv_ss" Create data motion intermediate representation

C:\xf\Fast\Release>C:\Xilinx\SDx\2018.2\llvm-clang\win64\llvm\bin\clang.exe -ID:\download\fpga\zcu104-rv-ss-2018-2\petalinux\sdk\sysroots\aarch64-xilinx-linux/usr/include -ID:\download\fpga\zcu104-rv-ss-2018-2\petalinux\sdk\sysroots\aarch64-xilinx-linux/usr/include/features -ID:\download\fpga\zcu104-rv-ss-2018-2\petalinux\sdk\sysroots\aarch64-xilinx-linux/usr/include/glib-2.0 -ID:\download\fpga\zcu104-rv-ss-2018-2\petalinux\sdk\sysroots\aarch64-xilinx-linux/usr/lib/glib-2.0/include -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/aarch64-linux-gnu/include -I../src -ID:\download\fpga\zcu104-rv-ss-2018-2\zcu104_rv_ss/sw/a53_linux/a53_linux/inc/xfopencv -ID:\download\fpga\zcu104-rv-ss-2018-2\petalinux\sdk\sysroots\aarch64-xilinx-linux/usr/include/c++/7.2.0 -ID:\download\fpga\zcu104-rv-ss-2018-2\petalinux\sdk\sysroots\aarch64-xilinx-linux/usr/include/c++/7.2.0/aarch64-xilinx-linux -ID:\download\fpga\zcu104-rv-ss-2018-2\petalinux\sdk\sysroots\aarch64-xilinx-linux/usr/include/c++/7.2.0/backward -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/aarch64-linux-gnu/include/c++/7.2.1 -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/aarch64-linux-gnu/include/c++/7.2.1/aarch64-linux-gnu -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/aarch64-linux-gnu/include/c++/7.2.1/backward -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/lib/gcc/aarch64-linux-gnu/7.2.1/include -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/lib/gcc/aarch64-linux-gnu/7.2.1/include-fixed -ID:\download\fpga\zcu104-rv-ss-2018-2\petalinux\sdk\sysroots\aarch64-xilinx-linux/usr/include/c++/6.2.1 -ID:\download\fpga\zcu104-rv-ss-2018-2\petalinux\sdk\sysroots\aarch64-xilinx-linux/usr/include/c++/6.2.1/aarch64-xilinx-linux -ID:\download\fpga\zcu104-rv-ss-2018-2\petalinux\sdk\sysroots\aarch64-xilinx-linux/usr/include/c++/6.2.1/backward -ID:\download\fpga\zcu104-rv-ss-2018-2\petalinux\sdk\sysroots\aarch64-xilinx-linux/usr/include -ID:\download\fpga\zcu104-rv-ss-2018-2\petalinux\sdk\sysroots\aarch64-xilinx-linux/usr/include/glib-2.0 -ID:\download\fpga\zcu104-rv-ss-2018-2\petalinux\sdk\sysroots\aarch64-xilinx-linux/usr/lib/glib-2.0/include -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/aarch64-linux-gnu/include/c++/6.2.1 -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/aarch64-linux-gnu/include/c++/6.2.1/aarch64-linux-gnu -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/aarch64-linux-gnu/include/c++/6.2.1/backward -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/lib/gcc/aarch64-linux-gnu/6.2.1/include -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/lib/gcc/aarch64-linux-gnu/6.2.1/include-fixed -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/aarch64-linux-gnu/include -DPLATFORM_ZCU104 -Wall -fmessage-length=0 -Wno-overloaded-virtual -Wno-unused-label -Wno-strict-overflow -Wno-uninitialized -Wno-unused-function -Wno-unused-variable -Wno-unknown-attributes -Wno-unused-local-typedefs -Wno-sign-compare -Wno-overloaded-virtual -Wno-unused-label -Wno-strict-overflow -Wno-uninitialized -Wno-unused-function -Wno-unused-variable -Wno-unknown-attributes -Wno-unused-local-typedefs -Wno-sign-compare -mstrict-align -MMD -MP -D SDSCC -target aarch64-linux-gnu -mcpu=cortex-a53 -O0 -g -I D:/download/fpga/zcu104-rv-ss-2018-2/zcu104_rv_ss/sw/a53_linux/a53_linux/inc/xfopencv -I C:/Xilinx/SDx/2018.2/target/aarch64-linux/include -D HLS_NO_XIL_FPO_LIB -I C:/Xilinx/Vivado/2018.2/include -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/aarch64-linux-gnu/include/c++/7.2.1 -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/aarch64-linux-gnu/include/c++/7.2.1/aarch64-linux-gnu -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/aarch64-linux-gnu/include/c++/7.2.1/backward -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/lib/gcc/aarch64-linux-gnu/7.2.1/include -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/lib/gcc/aarch64-linux-gnu/7.2.1/include-fixed -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/aarch64-linux-gnu/include -IC:/Xilinx/SDK/2018.2/gnu/aarch64/nt/aarch64-linux/aarch64-linux-gnu/libc/usr/include -std=c++11 -emit-llvm -S C:/xf/Fast/src/xf_fast_tb.cpp -o C:/xf/Fast/Release/_sds/.llvm/src/xf_fast_tb.s C:/Xilinx/Vivado/2018.2/include/ap_int_special.h:71:24: warning: class template 'complex' was previously declared as a struct template [-Wmismatched-tags] template class complex; ^ D:\download\fpga\zcu104-rv-ss-2018-2\petalinux\sdk\sysroots\aarch64-xilinx-linux/usr/include/c++/7.2.0\complex:122:12: note: previous use is here struct complex ^ C:/Xilinx/Vivado/2018.2/include/ap_int_special.h:71:24: note: did you mean struct here? template class complex; ^~~~~ struct

C:\xf\Fast\Release>exit /b 0 Compiling C:/xf/Fast/src/xf_fast_tb.cpp from D:\download\fpga\zcu104-rv-ss-2018-2\zcu104_rv_ss/sw/a53_linux/a53_linux/inc/xfopencv/common/xf_sw_utils.h:33, from C:/xf/Fast/src/xf_headers.h:60, from C:/xf/Fast/src/xf_fast_tb.cpp:31: from C:/xf/Fast/src/xf_fast_tb.cpp:32: from C:/xf/Fast/src/xf_fast_tb.cpp:32: from C:/xf/Fast/src/xf_fast_tb.cpp:32: from C:/xf/Fast/src/xf_fast_tb.cpp:32: from C:/Xilinx/Vivado/2018.2/include/ap_int.h:65, from D:\download\fpga\zcu104-rv-ss-2018-2\zcu104_rv_ss/sw/a53_linux/a53_linux/inc/xfopencv/common/xf_types.h:37, from D:\download\fpga\zcu104-rv-ss-2018-2\zcu104_rv_ss/sw/a53_linux/a53_linux/inc/xfopencv/common/xf_structs.h:40, from D:\download\fpga\zcu104-rv-ss-2018-2\zcu104_rv_ss/sw/a53_linux/a53_linux/inc/xfopencv/common/xf_common.h:34, from D:\download\fpga\zcu104-rv-ss-2018-2\zcu104_rv_ss/sw/a53_linux/a53_linux/inc/xfopencv/common/xf_sw_utils.h:33, from C:/xf/Fast/src/xf_headers.h:60, from C:/xf/Fast/src/xf_fast_tb.cpp:31: C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h: In instantiation of 'void ap_private<_AP_W, _AP_S, true>::clearUnusedBits() [with int _AP_W = 1; bool _AP_S = true]': C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:1593:5: required from 'ap_private<_AP_W, _AP_S, true>::ap_private(bool) [with int _AP_W = 1; bool _AP_S = true]' C:/Xilinx/Vivado/2018.2/include/ap_int_sim.h:4295:1: required from here C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:2057:98: warning: enum constant in boolean context [-Wint-in-bool-context] VAL = (ValType)(_AP_S ? ((((int64_t)VAL)<<(excess_bits))>> (excess_bits)) : (excess_bits ? (((uint64_t)VAL)<<(excess_bits))>>(excess_bits) : (uint64_t)VAL));


C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h: In instantiation of 'void ap_private<_AP_W, _AP_S, true>::clearUnusedBits() [with int _AP_W = 1; bool _AP_S = false]':
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:1593:5:   required from 'ap_private<_AP_W, _AP_S, true>::ap_private(bool) [with int _AP_W = 1; bool _AP_S = false]'
C:/Xilinx/Vivado/2018.2/include/ap_int_sim.h:4295:1:   required from here
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:2057:98: warning: enum constant in boolean context [-Wint-in-bool-context]
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h: In instantiation of 'void ap_private<_AP_W, _AP_S, true>::clearUnusedBits() [with int _AP_W = 8; bool _AP_S = true]':
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:1594:5:   required from 'ap_private<_AP_W, _AP_S, true>::ap_private(signed char) [with int _AP_W = 8; bool _AP_S = true]'
C:/Xilinx/Vivado/2018.2/include/ap_int_sim.h:4296:1:   required from here
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:2057:98: warning: enum constant in boolean context [-Wint-in-bool-context]
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h: In instantiation of 'void ap_private<_AP_W, _AP_S, true>::clearUnusedBits() [with int _AP_W = 8; bool _AP_S = false]':
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:1594:5:   required from 'ap_private<_AP_W, _AP_S, true>::ap_private(signed char) [with int _AP_W = 8; bool _AP_S = false]'
C:/Xilinx/Vivado/2018.2/include/ap_int_sim.h:4296:1:   required from here
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:2057:98: warning: enum constant in boolean context [-Wint-in-bool-context]
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h: In instantiation of 'void ap_private<_AP_W, _AP_S, true>::clearUnusedBits() [with int _AP_W = 16; bool _AP_S = true]':
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:1596:5:   required from 'ap_private<_AP_W, _AP_S, true>::ap_private(short int) [with int _AP_W = 16; bool _AP_S = true]'
C:/Xilinx/Vivado/2018.2/include/ap_int_sim.h:4298:1:   required from here
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:2057:98: warning: enum constant in boolean context [-Wint-in-bool-context]
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h: In instantiation of 'void ap_private<_AP_W, _AP_S, true>::clearUnusedBits() [with int _AP_W = 16; bool _AP_S = false]':
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:1596:5:   required from 'ap_private<_AP_W, _AP_S, true>::ap_private(short int) [with int _AP_W = 16; bool _AP_S = false]'
C:/Xilinx/Vivado/2018.2/include/ap_int_sim.h:4298:1:   required from here
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:2057:98: warning: enum constant in boolean context [-Wint-in-bool-context]
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h: In instantiation of 'void ap_private<_AP_W, _AP_S, true>::clearUnusedBits() [with int _AP_W = 32; bool _AP_S = true]':
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:1592:5:   required from 'ap_private<_AP_W, _AP_S, true>::ap_private(int) [with int _AP_W = 32; bool _AP_S = true]'
C:/Xilinx/Vivado/2018.2/include/ap_int_sim.h:4300:1:   required from here
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:2057:98: warning: enum constant in boolean context [-Wint-in-bool-context]
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h: In instantiation of 'void ap_private<_AP_W, _AP_S, true>::clearUnusedBits() [with int _AP_W = 32; bool _AP_S = false]':
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:1592:5:   required from 'ap_private<_AP_W, _AP_S, true>::ap_private(int) [with int _AP_W = 32; bool _AP_S = false]'
C:/Xilinx/Vivado/2018.2/include/ap_int_sim.h:4300:1:   required from here
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:2057:98: warning: enum constant in boolean context [-Wint-in-bool-context]
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h: In instantiation of 'void ap_private<_AP_W, _AP_S, true>::clearUnusedBits() [with int _AP_W = 5; bool _AP_S = false]':
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:1699:31:   required from 'const ap_private<_AP_W, _AP_S, true> ap_private<_AP_W, _AP_S, true>::operator++(int) [with int _AP_W = 5; bool _AP_S = false]'
D:\download\fpga\zcu104-rv-ss-2018-2\zcu104_rv_ss/sw/a53_linux/a53_linux/inc/xfopencv/features/xf_fast.hpp:60:30:   required from here
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:2057:98: warning: enum constant in boolean context [-Wint-in-bool-context]
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h: In instantiation of 'void ap_private<_AP_W, _AP_S, true>::clearUnusedBits() [with int _AP_W = 33; bool _AP_S = false]':
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:1622:24:   required from 'ap_private<_AP_W, _AP_S, true>::ap_private(const ap_private<_AP_W1, _AP_S1, _AP_OPT>&) [with int _AP_W1 = 5; bool _AP_S1 = false; bool _AP_OPT = true; int _AP_W = 33; bool _AP_S = false]'
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:2524:38:   required from 'bool ap_private<_AP_W, _AP_S, true>::operator<(const ap_private<_AP_W1, _AP_S1>&) const [with int _AP_W2 = 32; bool _AP_S2 = true; int _AP_W = 5; bool _AP_S = false]'
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:5811:1:   required from 'bool operator<(const ap_private<_AP_W, _AP_S>&, int) [with int _AP_W = 5; bool _AP_S = false]'
D:\download\fpga\zcu104-rv-ss-2018-2\zcu104_rv_ss/sw/a53_linux/a53_linux/inc/xfopencv/features/xf_fast.hpp:60:27:   required from here
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:2057:98: warning: enum constant in boolean context [-Wint-in-bool-context]
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h: In instantiation of 'void ap_private<_AP_W, _AP_S, true>::clearUnusedBits() [with int _AP_W = 33; bool _AP_S = true]':
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:1622:24:   required from 'ap_private<_AP_W, _AP_S, true>::ap_private(const ap_private<_AP_W1, _AP_S1, _AP_OPT>&) [with int _AP_W1 = 32; bool _AP_S1 = true; bool _AP_OPT = true; int _AP_W = 33; bool _AP_S = true]'
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:2525:39:   required from 'bool ap_private<_AP_W, _AP_S, true>::operator<(const ap_private<_AP_W1, _AP_S1>&) const [with int _AP_W2 = 32; bool _AP_S2 = true; int _AP_W = 5; bool _AP_S = false]'
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:5811:1:   required from 'bool operator<(const ap_private<_AP_W, _AP_S>&, int) [with int _AP_W = 5; bool _AP_S = false]'
D:\download\fpga\zcu104-rv-ss-2018-2\zcu104_rv_ss/sw/a53_linux/a53_linux/inc/xfopencv/features/xf_fast.hpp:60:27:   required from here
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:2057:98: warning: enum constant in boolean context [-Wint-in-bool-context]
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h: In instantiation of 'void ap_private<_AP_W, _AP_S, true>::clearUnusedBits() [with int _AP_W = 34; bool _AP_S = true]':
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:1600:5:   required from 'ap_private<_AP_W, _AP_S, true>::ap_private(long unsigned int) [with int _AP_W = 34; bool _AP_S = true]'
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:1990:51:   required from 'typename ap_private<_AP_W, _AP_S, true>::RType<_AP_W2, _AP_S2>::plus ap_private<_AP_W, _AP_S, true>::operator+(const ap_private<_AP_W1, _AP_S1>&) const [with int _AP_W1 = 32; bool _AP_S1 = true; int _AP_W = 33; bool _AP_S = true; typename ap_private<_AP_W, _AP_S, true>::RType<_AP_W2, _AP_S2>::plus = ap_private<34, true, true>]'
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:5811:1:   required from 'typename ap_private<_AP_W, _AP_S>::RType<32, true>::plus operator+(const ap_private<_AP_W, _AP_S>&, int) [with int _AP_W = 33; bool _AP_S = true; typename ap_private<_AP_W, _AP_S>::RType<32, true>::plus = ap_private<34, true, true>]'
D:\download\fpga\zcu104-rv-ss-2018-2\zcu104_rv_ss/sw/a53_linux/a53_linux/inc/xfopencv/features/xf_fast.hpp:93:6:   required from here
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:2057:98: warning: enum constant in boolean context [-Wint-in-bool-context]
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h: In instantiation of 'void ap_private<_AP_W, _AP_S, true>::clearUnusedBits() [with int _AP_W = 48; bool _AP_S = false]':
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:1582:24:   required from 'ap_private<_AP_W, _AP_S, true>::ap_private() [with int _AP_W = 48; bool _AP_S = false]'
C:/Xilinx/Vivado/2018.2/include/ap_int_sim.h:5001:26:   required from 'ap_uint<_AP_W>::ap_uint() [with int _AP_W = 48]'
D:\download\fpga\zcu104-rv-ss-2018-2\zcu104_rv_ss/sw/a53_linux/a53_linux/inc/xfopencv/common/xf_structs.h:596:15:   required from 'void xf::Mat<T, ROWS, COLS, NPC>::copyTo(void*) [with int T = 0; int ROWS = 2160; int COLS = 3840; int NPC = 1]'
C:/xf/Fast/src/xf_fast_tb.cpp:61:30:   required from here
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:2057:98: warning: enum constant in boolean context [-Wint-in-bool-context]
cc1plus.exe: warning: unrecognized command line option '-Wno-unknown-attributes'
cc1plus.exe: warning: unrecognized command line option '-Wno-unknown-attributes'
sds++ log file saved as C:/xf/Fast/Release/_sds/reports/sds_xf_fast_tb.log

'Finished building: ../src/xf_fast_tb.cpp'
' '
'Building target: Fast.elf'
'Invoking: SDS++ Linker'
sds++ -L/lib -L/usr/lib --sysroot=D:\download\fpga\zcu104-rv-ss-2018-2\petalinux\sdk\sysroots\aarch64-xilinx-linux -Wl,-rpath-link=D:\download\fpga\zcu104-rv-ss-2018-2\petalinux\sdk\sysroots\aarch64-xilinx-linux/lib,-rpath-link=D:\download\fpga\zcu104-rv-ss-2018-2\petalinux\sdk\sysroots\aarch64-xilinx-linux/usr/lib -sdcard ../src/data --remote_ip_cache C:/xf/ip_cache -o "Fast.elf"  ./src/xf_fast_accel.o ./src/xf_fast_tb.o   -lopencv_videoio -lglib-2.0 -ldrm -lv4l2subdev -lmediactl -lopencv_imgcodecs -lopencv_core -llzma -ltiff -lpng16 -lz -ljpeg -lopencv_imgproc -ldl -lrt -lwebp -lopencv_features2d -lopencv_flann -dmclkid 2  -sds-sys-config a53_linux -sds-proc a53_linux -sds-pf "D:\download\fpga\zcu104-rv-ss-2018-2\zcu104_rv_ss"
Removing implementation files from previous run
Analyzing object files
... C:/xf/Fast/Release/src/xf_fast_accel.o
... C:/xf/Fast/Release/src/xf_fast_tb.o
Generating data motion network

C:\xf\Fast\Release>C:\Xilinx\SDx\2018.2\llvm-clang\win64\llvm\bin\llvm-link.exe -o C:/xf/Fast/Release/_sds/.llvm/sds_all.o C:/xf/Fast/Release/_sds/.llvm/./src/xf_fast_accel.s C:/xf/Fast/Release/_sds/.llvm/./src/xf_fast_tb.s 

C:\xf\Fast\Release>exit /b 0 

C:\xf\Fast\Release\_sds\.llvm>opt -disable-output -mem2reg -basicaa -XidanePass --platform zcu104_rv_ss --dmclkid 2 --repo C:/xf/Fast/Release/_sds/.cdb/xd_ip_db.xml --dmdb C:/Xilinx/SDx/2018.2/data/DM.db -os linux -processor cortex-a53 -partition 0  0<sds_all.o 
INFO: [DMAnalysis 83-4494] Analyzing hardware accelerators...
INFO: [DMAnalysis 83-4497] Analyzing callers to hardware accelerators...
INFO: [DMAnalysis 83-4444] Scheduling data transfer graph for partition 0
INFO: [DMAnalysis 83-4446] Creating data motion network hardware for partition 0
INFO: [DMAnalysis 83-4448] Creating software stub functions for partition 0
INFO: [DMAnalysis 83-4450] Generating data motion network report for partition 0
INFO: [DMAnalysis 83-4454] Rewriting caller code
Removing implementation files from previous run C:/xf/Fast/Release/_sds/p0/vivado
Removing implementation files from previous run C:/xf/Fast/Release/_sds/p0/vpl
Creating block diagram (BD)
Creating top.bd.tcl
Rewrite caller functions
Compile caller rewrite file C:/xf/Fast/Release/_sds/swstubs/xf_fast_accel.cpp
                 from C:/xf/Fast/src/xf_fast_config.h:37,
                 from C:/xf/Fast/Release/_sds/swstubs/xf_fast_accel.cpp:31:
                 from C:/xf/Fast/Release/_sds/swstubs/xf_fast_accel.cpp:31:
                 from C:/xf/Fast/Release/_sds/swstubs/xf_fast_accel.cpp:31:
                 from C:/xf/Fast/Release/_sds/swstubs/xf_fast_accel.cpp:31:
                 from C:/xf/Fast/Release/_sds/swstubs/xf_fast_accel.cpp:31:
                 from C:/Xilinx/Vivado/2018.2/include/ap_int.h:65,
                 from C:/xf/Fast/src/xf_fast_config.h:36,
                 from C:/xf/Fast/Release/_sds/swstubs/xf_fast_accel.cpp:31:
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h: In instantiation of 'void ap_private<_AP_W, _AP_S, true>::clearUnusedBits() [with int _AP_W = 1; bool _AP_S = true]':
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:1593:5:   required from 'ap_private<_AP_W, _AP_S, true>::ap_private(bool) [with int _AP_W = 1; bool _AP_S = true]'
C:/Xilinx/Vivado/2018.2/include/ap_int_sim.h:4295:1:   required from here
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:2057:98: warning: enum constant in boolean context [-Wint-in-bool-context]
         VAL = (ValType)(_AP_S ? ((((int64_t)VAL)<<(excess_bits))>> (excess_bits)) : (excess_bits ? (((uint64_t)VAL)<<(excess_bits))>>(excess_bits) : (uint64_t)VAL));
                                                                                     ~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h: In instantiation of 'void ap_private<_AP_W, _AP_S, true>::clearUnusedBits() [with int _AP_W = 1; bool _AP_S = false]':
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:1593:5:   required from 'ap_private<_AP_W, _AP_S, true>::ap_private(bool) [with int _AP_W = 1; bool _AP_S = false]'
C:/Xilinx/Vivado/2018.2/include/ap_int_sim.h:4295:1:   required from here
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:2057:98: warning: enum constant in boolean context [-Wint-in-bool-context]
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h: In instantiation of 'void ap_private<_AP_W, _AP_S, true>::clearUnusedBits() [with int _AP_W = 8; bool _AP_S = true]':
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:1594:5:   required from 'ap_private<_AP_W, _AP_S, true>::ap_private(signed char) [with int _AP_W = 8; bool _AP_S = true]'
C:/Xilinx/Vivado/2018.2/include/ap_int_sim.h:4296:1:   required from here
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:2057:98: warning: enum constant in boolean context [-Wint-in-bool-context]
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h: In instantiation of 'void ap_private<_AP_W, _AP_S, true>::clearUnusedBits() [with int _AP_W = 8; bool _AP_S = false]':
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:1594:5:   required from 'ap_private<_AP_W, _AP_S, true>::ap_private(signed char) [with int _AP_W = 8; bool _AP_S = false]'
C:/Xilinx/Vivado/2018.2/include/ap_int_sim.h:4296:1:   required from here
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:2057:98: warning: enum constant in boolean context [-Wint-in-bool-context]
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h: In instantiation of 'void ap_private<_AP_W, _AP_S, true>::clearUnusedBits() [with int _AP_W = 16; bool _AP_S = true]':
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:1596:5:   required from 'ap_private<_AP_W, _AP_S, true>::ap_private(short int) [with int _AP_W = 16; bool _AP_S = true]'
C:/Xilinx/Vivado/2018.2/include/ap_int_sim.h:4298:1:   required from here
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:2057:98: warning: enum constant in boolean context [-Wint-in-bool-context]
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h: In instantiation of 'void ap_private<_AP_W, _AP_S, true>::clearUnusedBits() [with int _AP_W = 16; bool _AP_S = false]':
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:1596:5:   required from 'ap_private<_AP_W, _AP_S, true>::ap_private(short int) [with int _AP_W = 16; bool _AP_S = false]'
C:/Xilinx/Vivado/2018.2/include/ap_int_sim.h:4298:1:   required from here
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:2057:98: warning: enum constant in boolean context [-Wint-in-bool-context]
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h: In instantiation of 'void ap_private<_AP_W, _AP_S, true>::clearUnusedBits() [with int _AP_W = 32; bool _AP_S = true]':
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:1592:5:   required from 'ap_private<_AP_W, _AP_S, true>::ap_private(int) [with int _AP_W = 32; bool _AP_S = true]'
C:/Xilinx/Vivado/2018.2/include/ap_int_sim.h:4300:1:   required from here
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:2057:98: warning: enum constant in boolean context [-Wint-in-bool-context]
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h: In instantiation of 'void ap_private<_AP_W, _AP_S, true>::clearUnusedBits() [with int _AP_W = 32; bool _AP_S = false]':
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:1592:5:   required from 'ap_private<_AP_W, _AP_S, true>::ap_private(int) [with int _AP_W = 32; bool _AP_S = false]'
C:/Xilinx/Vivado/2018.2/include/ap_int_sim.h:4300:1:   required from here
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:2057:98: warning: enum constant in boolean context [-Wint-in-bool-context]
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h: In instantiation of 'void ap_private<_AP_W, _AP_S, true>::clearUnusedBits() [with int _AP_W = 5; bool _AP_S = false]':
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:1699:31:   required from 'const ap_private<_AP_W, _AP_S, true> ap_private<_AP_W, _AP_S, true>::operator++(int) [with int _AP_W = 5; bool _AP_S = false]'
D:/download/fpga/zcu104-rv-ss-2018-2/zcu104_rv_ss/sw/a53_linux/a53_linux/inc/xfopencv/features/xf_fast.hpp:60:30:   required from here
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:2057:98: warning: enum constant in boolean context [-Wint-in-bool-context]
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h: In instantiation of 'void ap_private<_AP_W, _AP_S, true>::clearUnusedBits() [with int _AP_W = 33; bool _AP_S = false]':
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:1622:24:   required from 'ap_private<_AP_W, _AP_S, true>::ap_private(const ap_private<_AP_W1, _AP_S1, _AP_OPT>&) [with int _AP_W1 = 5; bool _AP_S1 = false; bool _AP_OPT = true; int _AP_W = 33; bool _AP_S = false]'
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:2524:38:   required from 'bool ap_private<_AP_W, _AP_S, true>::operator<(const ap_private<_AP_W1, _AP_S1>&) const [with int _AP_W2 = 32; bool _AP_S2 = true; int _AP_W = 5; bool _AP_S = false]'
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:5811:1:   required from 'bool operator<(const ap_private<_AP_W, _AP_S>&, int) [with int _AP_W = 5; bool _AP_S = false]'
D:/download/fpga/zcu104-rv-ss-2018-2/zcu104_rv_ss/sw/a53_linux/a53_linux/inc/xfopencv/features/xf_fast.hpp:60:27:   required from here
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:2057:98: warning: enum constant in boolean context [-Wint-in-bool-context]
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h: In instantiation of 'void ap_private<_AP_W, _AP_S, true>::clearUnusedBits() [with int _AP_W = 33; bool _AP_S = true]':
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:1622:24:   required from 'ap_private<_AP_W, _AP_S, true>::ap_private(const ap_private<_AP_W1, _AP_S1, _AP_OPT>&) [with int _AP_W1 = 32; bool _AP_S1 = true; bool _AP_OPT = true; int _AP_W = 33; bool _AP_S = true]'
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:2525:39:   required from 'bool ap_private<_AP_W, _AP_S, true>::operator<(const ap_private<_AP_W1, _AP_S1>&) const [with int _AP_W2 = 32; bool _AP_S2 = true; int _AP_W = 5; bool _AP_S = false]'
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:5811:1:   required from 'bool operator<(const ap_private<_AP_W, _AP_S>&, int) [with int _AP_W = 5; bool _AP_S = false]'
D:/download/fpga/zcu104-rv-ss-2018-2/zcu104_rv_ss/sw/a53_linux/a53_linux/inc/xfopencv/features/xf_fast.hpp:60:27:   required from here
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:2057:98: warning: enum constant in boolean context [-Wint-in-bool-context]
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h: In instantiation of 'void ap_private<_AP_W, _AP_S, true>::clearUnusedBits() [with int _AP_W = 34; bool _AP_S = true]':
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:1600:5:   required from 'ap_private<_AP_W, _AP_S, true>::ap_private(long unsigned int) [with int _AP_W = 34; bool _AP_S = true]'
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:1990:51:   required from 'typename ap_private<_AP_W, _AP_S, true>::RType<_AP_W2, _AP_S2>::plus ap_private<_AP_W, _AP_S, true>::operator+(const ap_private<_AP_W1, _AP_S1>&) const [with int _AP_W1 = 32; bool _AP_S1 = true; int _AP_W = 33; bool _AP_S = true; typename ap_private<_AP_W, _AP_S, true>::RType<_AP_W2, _AP_S2>::plus = ap_private<34, true, true>]'
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:5811:1:   required from 'typename ap_private<_AP_W, _AP_S>::RType<32, true>::plus operator+(const ap_private<_AP_W, _AP_S>&, int) [with int _AP_W = 33; bool _AP_S = true; typename ap_private<_AP_W, _AP_S>::RType<32, true>::plus = ap_private<34, true, true>]'
D:/download/fpga/zcu104-rv-ss-2018-2/zcu104_rv_ss/sw/a53_linux/a53_linux/inc/xfopencv/features/xf_fast.hpp:93:6:   required from here
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:2057:98: warning: enum constant in boolean context [-Wint-in-bool-context]
cc1plus.exe: warning: unrecognized command line option '-Wno-unknown-attributes'
cc1plus.exe: warning: unrecognized command line option '-Wno-unknown-attributes'
Prepare hardware access API functions
Create accelerator stub functions
Compile hardware access API functions
Compile accelerator stub functions
                 from xf_fast_hpp.cpp:69:

C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h: In instantiation of 'void ap_private<_AP_W, _AP_S, true>::clearUnusedBits() [with int _AP_W = 1; bool _AP_S = false]':
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:1593:5:   required from 'ap_private<_AP_W, _AP_S, true>::ap_private(bool) [with int _AP_W = 1; bool _AP_S = false]'
C:/Xilinx/Vivado/2018.2/include/ap_int_sim.h:4295:1:   required from here
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:2057:98: warning: enum constant in boolean context [-Wint-in-bool-context]
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h: In instantiation of 'void ap_private<_AP_W, _AP_S, true>::clearUnusedBits() [with int _AP_W = 8; bool _AP_S = true]':
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:1594:5:   required from 'ap_private<_AP_W, _AP_S, true>::ap_private(signed char) [with int _AP_W = 8; bool _AP_S = true]'
C:/Xilinx/Vivado/2018.2/include/ap_int_sim.h:4296:1:   required from here
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:2057:98: warning: enum constant in boolean context [-Wint-in-bool-context]
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h: In instantiation of 'void ap_private<_AP_W, _AP_S, true>::clearUnusedBits() [with int _AP_W = 8; bool _AP_S = false]':
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:1594:5:   required from 'ap_private<_AP_W, _AP_S, true>::ap_private(signed char) [with int _AP_W = 8; bool _AP_S = false]'
C:/Xilinx/Vivado/2018.2/include/ap_int_sim.h:4296:1:   required from here
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:2057:98: warning: enum constant in boolean context [-Wint-in-bool-context]
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h: In instantiation of 'void ap_private<_AP_W, _AP_S, true>::clearUnusedBits() [with int _AP_W = 16; bool _AP_S = true]':
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:1596:5:   required from 'ap_private<_AP_W, _AP_S, true>::ap_private(short int) [with int _AP_W = 16; bool _AP_S = true]'
C:/Xilinx/Vivado/2018.2/include/ap_int_sim.h:4298:1:   required from here
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:2057:98: warning: enum constant in boolean context [-Wint-in-bool-context]
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h: In instantiation of 'void ap_private<_AP_W, _AP_S, true>::clearUnusedBits() [with int _AP_W = 16; bool _AP_S = false]':
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:1596:5:   required from 'ap_private<_AP_W, _AP_S, true>::ap_private(short int) [with int _AP_W = 16; bool _AP_S = false]'
C:/Xilinx/Vivado/2018.2/include/ap_int_sim.h:4298:1:   required from here
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:2057:98: warning: enum constant in boolean context [-Wint-in-bool-context]
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h: In instantiation of 'void ap_private<_AP_W, _AP_S, true>::clearUnusedBits() [with int _AP_W = 32; bool _AP_S = true]':
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:1592:5:   required from 'ap_private<_AP_W, _AP_S, true>::ap_private(int) [with int _AP_W = 32; bool _AP_S = true]'
C:/Xilinx/Vivado/2018.2/include/ap_int_sim.h:4300:1:   required from here
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:2057:98: warning: enum constant in boolean context [-Wint-in-bool-context]
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h: In instantiation of 'void ap_private<_AP_W, _AP_S, true>::clearUnusedBits() [with int _AP_W = 32; bool _AP_S = false]':
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:1592:5:   required from 'ap_private<_AP_W, _AP_S, true>::ap_private(int) [with int _AP_W = 32; bool _AP_S = false]'
C:/Xilinx/Vivado/2018.2/include/ap_int_sim.h:4300:1:   required from here
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:2057:98: warning: enum constant in boolean context [-Wint-in-bool-context]
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h: In instantiation of 'void ap_private<_AP_W, _AP_S, true>::clearUnusedBits() [with int _AP_W = 5; bool _AP_S = false]':
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:1699:31:   required from 'const ap_private<_AP_W, _AP_S, true> ap_private<_AP_W, _AP_S, true>::operator++(int) [with int _AP_W = 5; bool _AP_S = false]'
xf_fast_hpp.cpp:122:30:   required from here
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:2057:98: warning: enum constant in boolean context [-Wint-in-bool-context]
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h: In instantiation of 'void ap_private<_AP_W, _AP_S, true>::clearUnusedBits() [with int _AP_W = 33; bool _AP_S = false]':
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:1622:24:   required from 'ap_private<_AP_W, _AP_S, true>::ap_private(const ap_private<_AP_W1, _AP_S1, _AP_OPT>&) [with int _AP_W1 = 5; bool _AP_S1 = false; bool _AP_OPT = true; int _AP_W = 33; bool _AP_S = false]'
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:2524:38:   required from 'bool ap_private<_AP_W, _AP_S, true>::operator<(const ap_private<_AP_W1, _AP_S1>&) const [with int _AP_W2 = 32; bool _AP_S2 = true; int _AP_W = 5; bool _AP_S = false]'
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:5811:1:   required from 'bool operator<(const ap_private<_AP_W, _AP_S>&, int) [with int _AP_W = 5; bool _AP_S = false]'
xf_fast_hpp.cpp:122:27:   required from here
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:2057:98: warning: enum constant in boolean context [-Wint-in-bool-context]
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h: In instantiation of 'void ap_private<_AP_W, _AP_S, true>::clearUnusedBits() [with int _AP_W = 33; bool _AP_S = true]':
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:1622:24:   required from 'ap_private<_AP_W, _AP_S, true>::ap_private(const ap_private<_AP_W1, _AP_S1, _AP_OPT>&) [with int _AP_W1 = 32; bool _AP_S1 = true; bool _AP_OPT = true; int _AP_W = 33; bool _AP_S = true]'
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:2525:39:   required from 'bool ap_private<_AP_W, _AP_S, true>::operator<(const ap_private<_AP_W1, _AP_S1>&) const [with int _AP_W2 = 32; bool _AP_S2 = true; int _AP_W = 5; bool _AP_S = false]'
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:5811:1:   required from 'bool operator<(const ap_private<_AP_W, _AP_S>&, int) [with int _AP_W = 5; bool _AP_S = false]'
xf_fast_hpp.cpp:122:27:   required from here
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:2057:98: warning: enum constant in boolean context [-Wint-in-bool-context]
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h: In instantiation of 'void ap_private<_AP_W, _AP_S, true>::clearUnusedBits() [with int _AP_W = 34; bool _AP_S = true]':
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:1600:5:   required from 'ap_private<_AP_W, _AP_S, true>::ap_private(long unsigned int) [with int _AP_W = 34; bool _AP_S = true]'
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:1990:51:   required from 'typename ap_private<_AP_W, _AP_S, true>::RType<_AP_W2, _AP_S2>::plus ap_private<_AP_W, _AP_S, true>::operator+(const ap_private<_AP_W1, _AP_S1>&) const [with int _AP_W1 = 32; bool _AP_S1 = true; int _AP_W = 33; bool _AP_S = true; typename ap_private<_AP_W, _AP_S, true>::RType<_AP_W2, _AP_S2>::plus = ap_private<34, true, true>]'
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:5811:1:   required from 'typename ap_private<_AP_W, _AP_S>::RType<32, true>::plus operator+(const ap_private<_AP_W, _AP_S>&, int) [with int _AP_W = 33; bool _AP_S = true; typename ap_private<_AP_W, _AP_S>::RType<32, true>::plus = ap_private<34, true, true>]'
xf_fast_hpp.cpp:188:6:   required from here
C:/Xilinx/Vivado/2018.2/include/etc/ap_private.h:2057:98: warning: enum constant in boolean context [-Wint-in-bool-context]
cc1plus.exe: warning: unrecognized command line option '-Wno-unknown-attributes'
cc1plus.exe: warning: unrecognized command line option '-Wno-unknown-attributes'
Preliminary link application ELF
Enable generation of hardware programming files
Enable generation of boot files
Calling VPL

****** vpl v2018.2 (64-bit)
  **** SW Build 2258646 on Thu Jun 14 20:03:12 MDT 2018
    ** Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.

Attempting to get a license: ap_opencl
WARNING: [VPL 17-301] Failed to get a license for 'ap_opencl'. Explanation: The license feature ap_opencl could not be found.
Resolution: Check the status of your licenses in the Vivado License Manager. For debug help search Xilinx Support for "Licensing FAQ". 
Attempting to get a license: ap_sdsoc
Feature available: ap_sdsoc
INFO: [VPL 60-895]   Target platform: D:/download/fpga/zcu104-rv-ss-2018-2/zcu104_rv_ss\zcu104_rv_ss.xpfm
INFO: [VPL 60-423]   Target device: zcu104_rv_ss
INFO: [VPL 60-1032] Extracting DSA to C:/xf/Fast/Release/_sds/p0/vivado/.local/dsa
INFO: [VPL 60-251]   Hardware accelerator integration...

INFO: [VPL 60-841] Created output file: C:/xf/Fast/Release/_sds/p0/vpl/address_map.xml
INFO: [VPL 60-841] Created output file: C:/xf/Fast/Release/_sds/p0/vpl/system.bit
INFO: [VPL 60-841] Created output file: C:/xf/Fast/Release/_sds/p0/vpl/system.hdf
INFO: [VPL 60-841] Created output file: C:/xf/Fast/Release/_sds/p0/vpl/_new_clk_freq
Software tracing enabled
Compile hardware access API functions
Link application ELF file
SD card folder created C:/xf/Fast/Release/sd_card
All user specified timing constraints are met.
sds++ log file saved as C:/xf/Fast/Release/_sds/reports/sds.log

'Finished building target: Fast.elf'
' '

10:01:19 Build Finished (took 1h:6m:31s.956ms)
bgouthamb commented 5 years ago

This feature is not supported with xfOpenCV functions, as Vivado HLS doesn't support a template as Top Function.