Xilinx / xfopencv

Other
321 stars 144 forks source link

xf::HoughLines doesn't synthesis correctly #92

Open Anadelphia opened 3 years ago

Anadelphia commented 3 years ago

In VIVADO HLS 2019.1 Windows

cflag: -IF:/thesis/07_Final/HOUGH_SINGLE/Hough_accel/INC -DSDSVHLS -std=c++0x

my code (same as example):

`

include "hls_stream.h"

include "ap_int.h"

include "common/xf_common.h"

include "common/xf_utility.h"

include "imgproc/xf_houghlines.hpp"

define WIDTH 1920//1920//240//480//1920

define HEIGHT 1080//1080//135//270//1080

define RHOSTEP 1

define THETASTEP 2 // 6.1 format

define LINESMAX 512

define DIAGVAL 2203 //275 //cvRound((sqrt(WIDTHWIDTH + HEIGHTHEIGHT)) / RHOSTEP);

define MINTHETA 0

define MAXTHETA 180

/ define the input and output types /

define NPC1 XF_NPPC1

void houghlines_accel(xf::Mat<XF_8UC1, HEIGHT, WIDTH, NPC1> &_src,float arrayy,float arrayx,short threshold,short maxlines) {

pragma HLS interface m_axi port=arrayy depth=512

pragma HLS interface m_axi port=arrayx depth=512

pragma HLS INTERFACE s_axilite port=return bundle=lite

xf::HoughLines<RHOSTEP,THETASTEP,LINESMAX,DIAGVAL,MINTHETA,MAXTHETA,XF_8UC1,HEIGHT, WIDTH,NPC1>(_src,arrayy,arrayx,threshold,maxlines);

} `

and the result after synthesis : image

Anadelphia commented 3 years ago

Starting C synthesis ... C:/Xilinx/Vivado/2019.1/bin/vivado_hls.bat F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/solution1/csynth.tcl INFO: [HLS 200-10] Running 'C:/Xilinx/Vivado/2019.1/bin/unwrapped/win64.o/vivado_hls.exe' INFO: [HLS 200-10] For user 'Anadelphia' on host 'anadelphia-pc' (Windows NT_amd64 version 6.1) on Fri Jan 01 21:22:38 +0330 2021 INFO: [HLS 200-10] In directory 'F:/Thisis/07_Final/HOUGH_SINGLE' Sourcing Tcl script 'F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/solution1/csynth.tcl' INFO: [HLS 200-10] Opening project 'F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel'. INFO: [HLS 200-10] Adding design file 'Hough_accel/hough_accel.cpp' to the project INFO: [HLS 200-10] Opening solution 'F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/solution1'. INFO: [SYN 201-201] Setting up clock 'default' with a period of 5ns. INFO: [HLS 200-10] Setting target device to 'xc7z020-clg400-1' INFO: [SCHED 204-61] Option 'relax_ii_for_timing' is enabled, will increase II to preserve clock frequency constraints. INFO: [HLS 200-10] Analyzing design file 'Hough_accel/hough_accel.cpp' ... WARNING: [HLS 200-40] In file included from Hough_accel/hough_accel.cpp:1: In file included from Hough_accel/hough_accel.cpp:5: In file included from F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\common/xf_common.h:34: F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\common/xf_structs.h:403:33: warning: unknown attribute 'xcl_array_geometry' ignored [-Wattributes] DATATYPE data __attribute((xcl_array_geometry((ROWS)(COLS>> (xfNPixelsPerCycle::datashift))))); ^ 1 warning generated. INFO: [HLS 200-111] Finished Linking Time (s): cpu = 00:00:03 ; elapsed = 00:00:55 . Memory (MB): peak = 186.734 ; gain = 93.156 INFO: [HLS 200-111] Finished Checking Pragmas Time (s): cpu = 00:00:03 ; elapsed = 00:00:55 . Memory (MB): peak = 186.734 ; gain = 93.156 INFO: [HLS 200-10] Starting code transformations ... INFO: [HLS 200-111] Finished Standard Transforms Time (s): cpu = 00:00:24 ; elapsed = 00:01:17 . Memory (MB): peak = 186.734 ; gain = 93.156 INFO: [HLS 200-10] Checking synthesizability ... INFO: [XFORM 203-602] Inlining function 'xf::Mat<0, 1080, 1920, 1>::read' into 'xf::xfVoting<0, 1080, 1920, 0, 1, 1, 2u, 1u, 180, 2203, 0>' (F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:218) automatically. WARNING: [SYNCHK 200-23] F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:513: variable-indexed range selection may cause suboptimal QoR. INFO: [SYNCHK 200-10] 0 error(s), 1 warning(s). INFO: [HLS 200-111] Finished Checking Synthesizability Time (s): cpu = 00:00:25 ; elapsed = 00:01:18 . Memory (MB): peak = 186.734 ; gain = 93.156 INFO: [XFORM 203-502] Unrolling all sub-loops inside loop 'loop_init_r' (F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:97) in function 'xf::xfVoting<0, 1080, 1920, 0, 1, 1, 2u, 1u, 180, 2203, 0>' for pipelining. INFO: [XFORM 203-502] Unrolling all sub-loops inside loop 'LOOPJ' (F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:212) in function 'xf::xfVoting<0, 1080, 1920, 0, 1, 1, 2u, 1u, 180, 2203, 0>' for pipelining. WARNING: [XFORM 203-561] Updating loop upper bound from 180 to 181 for loop 'loop_init_n' (F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:102:1) in function 'xf::xfVoting<0, 1080, 1920, 0, 1, 1, 2u, 1u, 180, 2203, 0>'. WARNING: [XFORM 203-561] Updating loop lower bound from 1 to 181 for loop 'loop_init_n' (F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:102:1) in function 'xf::xfVoting<0, 1080, 1920, 0, 1, 1, 2u, 1u, 180, 2203, 0>'. WARNING: [XFORM 203-561] Updating loop upper bound from 2203 to 2204 for loop 'loop_init_r' (F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:98:1) in function 'xf::xfVoting<0, 1080, 1920, 0, 1, 1, 2u, 1u, 180, 2203, 0>'. WARNING: [XFORM 203-561] Updating loop lower bound from 1 to 2204 for loop 'loop_init_r' (F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:98:1) in function 'xf::xfVoting<0, 1080, 1920, 0, 1, 1, 2u, 1u, 180, 2203, 0>'. WARNING: [XFORM 203-561] Updating loop lower bound from 1 to 180 for loop 'loop_init' (F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:121:1) in function 'xf::xfVoting<0, 1080, 1920, 0, 1, 1, 2u, 1u, 180, 2203, 0>'. INFO: [HLS 200-489] Unrolling loop 'Loop-1' (F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:472) in function 'xf::xfSorting<1080, 1920, 0, 1, 1, 2u, 1u, 180, 2203, 512, 0>' completely with a factor of 180. INFO: [HLS 200-489] Unrolling loop 'Loop-2.1' (F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:485) in function 'xf::xfSorting<1080, 1920, 0, 1, 1, 2u, 1u, 180, 2203, 512, 0>' completely with a factor of 180. INFO: [HLS 200-489] Unrolling loop 'Loop-1' (F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:377) in function 'xf::xfThinning<1080, 1920, 0, 1, 1, 180u, 2203>' completely with a factor of 181. INFO: [HLS 200-489] Unrolling loop 'THINNINGINIT' (F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:392) in function 'xf::xfThinning<1080, 1920, 0, 1, 1, 180u, 2203>' completely with a factor of 180. INFO: [HLS 200-489] Unrolling loop 'THINWRITELOOP' (F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:402) in function 'xf::xfThinning<1080, 1920, 0, 1, 1, 180u, 2203>' completely with a factor of 180. INFO: [HLS 200-489] Unrolling loop 'loop_init_n' (F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:101) in function 'xf::xfVoting<0, 1080, 1920, 0, 1, 1, 2u, 1u, 180, 2203, 0>' completely with a factor of 181. INFO: [HLS 200-489] Unrolling loop 'LOOPN1' (F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:224) in function 'xf::xfVoting<0, 1080, 1920, 0, 1, 1, 2u, 1u, 180, 2203, 0>' completely with a factor of 180. INFO: [HLS 200-489] Unrolling loop 'LOOPN2' (F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:319) in function 'xf::xfVoting<0, 1080, 1920, 0, 1, 1, 2u, 1u, 180, 2203, 0>' completely with a factor of 180. INFO: [XFORM 203-101] Partitioning array 'accum.V' (F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:553) in dimension 1 completely. WARNING: [XFORM 203-104] Completely partitioning array 'local_max.V' (F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:463) accessed through non-constant indices on dimension 1 (F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:496:15), which may result in long runtime and suboptimal QoR due to large multiplexers. Please consider wrapping the array access into a function or using a register file core instead. INFO: [XFORM 203-101] Partitioning array 'local_max.V' (F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:463) in dimension 1 completely. WARNING: [XFORM 203-104] Completely partitioning array 'local_max_rho.V' (F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:464) accessed through non-constant indices on dimension 1 (F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:500:28), which may result in long runtime and suboptimal QoR due to large multiplexers. Please consider wrapping the array access into a function or using a register file core instead. INFO: [XFORM 203-101] Partitioning array 'local_max_rho.V' (F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:464) in dimension 1 completely. INFO: [XFORM 203-101] Partitioning array 'cond2' in dimension 1 completely. INFO: [XFORM 203-101] Partitioning array 'vote_at_rho_theta.V' (F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:366) in dimension 1 completely. INFO: [XFORM 203-101] Partitioning array 'vote_at_rho_theta_reg.V' (F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:366) in dimension 1 completely. INFO: [XFORM 203-101] Partitioning array 'four_conds' in dimension 1 completely. INFO: [XFORM 203-101] Partitioning array 'four_conds_reg' (F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:367) in dimension 1 completely. INFO: [XFORM 203-101] Partitioning array 'four_conds_reg_2' (F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:367) in dimension 1 completely. WARNING: [XFORM 203-104] Completely partitioning array 'accval_reg_set1.V' (F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:134) accessed through non-constant indices on dimension 1 (F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:187:19), which may result in long runtime and suboptimal QoR due to large multiplexers. Please consider wrapping the array access into a function or using a register file core instead. INFO: [XFORM 203-101] Partitioning array 'accval_reg_set1.V' (F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:134) in dimension 1 completely. INFO: [XFORM 203-101] Partitioning array 'rho_stg1_cos.V' (F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:133) in dimension 1 completely. WARNING: [XFORM 203-104] Completely partitioning array 'rho_stg1_sin.V' (F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:133) accessed through non-constant indices on dimension 1 (F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:178:60), which may result in long runtime and suboptimal QoR due to large multiplexers. Please consider wrapping the array access into a function or using a register file core instead. INFO: [XFORM 203-101] Partitioning array 'rho_stg1_sin.V' (F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:133) in dimension 1 completely. INFO: [XFORM 203-101] Partitioning array 'rho_stg2.V' (F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:133) in dimension 1 completely. WARNING: [XFORM 203-104] Completely partitioning array 'sinvals' accessed through non-constant indices on dimension 1 (F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:178:60), which may result in long runtime and suboptimal QoR due to large multiplexers. Please consider wrapping the array access into a function or using a register file core instead. INFO: [XFORM 203-101] Partitioning array 'sinvals' in dimension 1 completely. WARNING: [XFORM 203-104] Completely partitioning array 'cosvals' accessed through non-constant indices on dimension 1 (F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:178:37), which may result in long runtime and suboptimal QoR due to large multiplexers. Please consider wrapping the array access into a function or using a register file core instead. INFO: [XFORM 203-101] Partitioning array 'cosvals' in dimension 1 completely. WARNING: [XFORM 203-104] Completely partitioning array 'rho_stg3_reg.V' (F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:130) accessed through non-constant indices on dimension 1 (F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:188:16), which may result in long runtime and suboptimal QoR due to large multiplexers. Please consider wrapping the array access into a function or using a register file core instead. INFO: [XFORM 203-101] Partitioning array 'rho_stg3_reg.V' (F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:130) in dimension 1 completely. WARNING: [XFORM 203-104] Completely partitioning array 'rho_prev_set1.V' (F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:129) accessed through non-constant indices on dimension 1 (F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:186:17), which may result in long runtime and suboptimal QoR due to large multiplexers. Please consider wrapping the array access into a function or using a register file core instead. INFO: [XFORM 203-101] Partitioning array 'rho_prev_set1.V' (F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:129) in dimension 1 completely. WARNING: [XFORM 203-104] Completely partitioning array 'accum.V' (F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:553) accessed through non-constant indices on dimension 1 (F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:505:15), which may result in long runtime and suboptimal QoR due to large multiplexers. Please consider wrapping the array access into a function or using a register file core instead. WARNING: [XFORM 203-104] Completely partitioning array 'vote_at_rho_theta.V' (F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:366) accessed through non-constant indices on dimension 1 (F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:343:58), which may result in long runtime and suboptimal QoR due to large multiplexers. Please consider wrapping the array access into a function or using a register file core instead. WARNING: [XFORM 203-104] Completely partitioning array 'vote_at_rho_theta_reg.V' (F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:366) accessed through non-constant indices on dimension 1 (F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:341:70), which may result in long runtime and suboptimal QoR due to large multiplexers. Please consider wrapping the array access into a function or using a register file core instead. WARNING: [XFORM 203-104] Completely partitioning array 'cond2' accessed through non-constant indices on dimension 1 (F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:341:9), which may result in long runtime and suboptimal QoR due to large multiplexers. Please consider wrapping the array access into a function or using a register file core instead. WARNING: [XFORM 203-104] Completely partitioning array 'four_conds' accessed through non-constant indices on dimension 1 (F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:344:14), which may result in long runtime and suboptimal QoR due to large multiplexers. Please consider wrapping the array access into a function or using a register file core instead. INFO: [XFORM 203-602] Inlining function 'xf::Mat<0, 1080, 1920, 1>::read' into 'xf::xfVoting<0, 1080, 1920, 0, 1, 1, 2u, 1u, 180, 2203, 0>' (F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:218) automatically. INFO: [XFORM 203-401] Performing if-conversion on hyperblock from (F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:494:4) to (F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:493:39) in function 'xf::xfSorting<1080, 1920, 0, 1, 1, 2u, 1u, 180, 2203, 512, 0>'... converting 3 basic blocks. INFO: [XFORM 203-401] Performing if-conversion on hyperblock from (F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:511:23) to (F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:482:41) in function 'xf::xfSorting<1080, 1920, 0, 1, 1, 2u, 1u, 180, 2203, 512, 0>'... converting 8 basic blocks. INFO: [HLS 200-111] Finished Pre-synthesis Time (s): cpu = 00:18:55 ; elapsed = 00:19:52 . Memory (MB): peak = 728.590 ; gain = 635.012 WARNING: [XFORM 203-542] Cannot flatten a loop nest 'MAINL' (F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:483:3) in function 'xf::xfSorting<1080, 1920, 0, 1, 1, 2u, 1u, 180, 2203, 512, 0>' :

the outer loop is not a perfect loop because there is nontrivial logic before entering the inner loop. WARNING: [XFORM 203-631] Renaming function 'xf::xfVoting<0, 1080, 1920, 0, 1, 1, 2u, 1u, 180, 2203, 0>' to 'xfVoting' (F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:96:6) WARNING: [XFORM 203-631] Renaming function 'xf::xfThinning<1080, 1920, 0, 1, 1, 180u, 2203>' to 'xfThinning' (F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:387:53) WARNING: [XFORM 203-631] Renaming function 'xf::xfSorting<1080, 1920, 0, 1, 1, 2u, 1u, 180, 2203, 512, 0>' to 'xfSorting' (F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:482:41) WARNING: [XFORM 203-631] Renaming function 'xf::xfHoughLines<0, 1080, 1920, 0, 1, 1, 2u, 1u, 512, 2203, 0, 180>' to 'xfHoughLines' (F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:553:1) WARNING: [XFORM 203-631] Renaming function 'xf::thinningCompare<180>' to 'thinningCompare<180>' (F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:333) WARNING: [XFORM 203-631] Renaming function 'xf::get_maxval_index<2203>' to 'get_maxval_index' (F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:429:37) WARNING: [XFORM 203-631] Renaming function 'xf::HoughLines<1u, 2u, 512, 2203, 0, 180, 0, 1080, 1920, 1>' to 'HoughLines' (F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:615:1) WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[117].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[116].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[115].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[114].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[113].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[112].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[111].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[110].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[109].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[108].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[107].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[106].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[105].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[104].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[103].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[102].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[101].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[100].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[99].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[98].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[97].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[96].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[95].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[94].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[93].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[92].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[91].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[90].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[89].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[88].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[87].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[86].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[85].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[84].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[83].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[82].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[81].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[80].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[79].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[78].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[77].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[76].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[75].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[74].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[73].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[72].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[71].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[70].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[69].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[68].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[67].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[66].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[65].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[64].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[63].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[62].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[61].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[60].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[59].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[58].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[57].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[56].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[55].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[54].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[53].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[52].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[51].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[50].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[49].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[48].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[47].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[46].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[45].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[44].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[43].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[42].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[41].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[40].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[39].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[38].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[37].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[36].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[35].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[34].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[33].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[32].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[31].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[30].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[29].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[28].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[27].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[26].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[25].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[24].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[23].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[22].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[21].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[20].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[19].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[18].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[17].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[16].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[15].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[14].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[13].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[12].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[11].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[10].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[9].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[8].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[7].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[6].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[5].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[4].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[3].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[2].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[1].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[0].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable '_src_mat.data.V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[179].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[178].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[177].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[176].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[175].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[174].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[173].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[172].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[171].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[170].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[169].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[168].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[167].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[166].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[165].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[164].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[163].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[162].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[161].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[160].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[159].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[158].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[157].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[156].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[155].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[154].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[153].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[152].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[151].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[150].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[149].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[148].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[147].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[146].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[145].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[144].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[143].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[142].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[141].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[140].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[139].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[138].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[137].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[136].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[135].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[134].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[133].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[132].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[131].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[130].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[129].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[128].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[127].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[126].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[125].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[124].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[123].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[122].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[121].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[120].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[119].V'. WARNING: [ANALYSIS 214-52] Found false inter dependency for variable 'accum[118].V'. INFO: [HLS 200-444] Inferring multiple bus burst write of variable length on port 'linesrho' (F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:515:12). These data requests might be further partitioned to multiple requests during RTL generation, based on max_read_burst_length or max_write_burst_length settings. INFO: [HLS 200-444] Inferring multiple bus burst write of variable length on port 'linestheta' (F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:516:14). These data requests might be further partitioned to multiple requests during RTL generation, based on max_read_burst_length or max_write_burst_length settings. INFO: [HLS 200-111] Finished Architecture Synthesis Time (s): cpu = 01:59:33 ; elapsed = 02:02:13 . Memory (MB): peak = 1036.242 ; gain = 942.664 INFO: [HLS 200-10] Starting hardware synthesis ... INFO: [HLS 200-10] Synthesizing 'houghlines_accel' ... WARNING: [SYN 201-103] Legalizing function name 'thinningCompare<180>' to 'thinningCompare_180_s'. INFO: [HLS 200-10] ---------------------------------------------------------------- INFO: [HLS 200-42] -- Implementing module 'xfVoting' INFO: [HLS 200-10] ---------------------------------------------------------------- INFO: [SCHED 204-11] Starting scheduling ... INFO: [SCHED 204-61] Pipelining loop 'loop_init_r'. INFO: [SCHED 204-61] Pipelining result : Target II = 1, Final II = 1, Depth = 1. INFO: [SCHED 204-61] Pipelining loop 'Loop 2'. WARNING: [SCHED 204-68] The II Violation in module 'xfVoting' (Loop: Loop 2): Unable to enforce a carried dependence constraint (II = 1, distance = 1, offset = 1) between 'store' operation ('rho_prev_set1_V_0_0_write_ln175', F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:175) of variable 'rho_prev_set1_V_0_1', F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:175 on local variable 'rho_prev_set1_V_0_0' and 'load' operation ('rho_prev_set1_V_0_0_1') on local variable 'rho_prev_set1_V_0_0'. INFO: [SCHED 204-61] Pipelining result : Target II = 1, Final II = 2, Depth = 6. INFO: [SCHED 204-61] Pipelining loop 'LOOPJ'. WARNING: [SCHED 204-68] The II Violation in module 'xfVoting' (Loop: LOOPJ): Unable to enforce a carried dependence constraint (II = 1, distance = 1, offset = 1) between 'store' operation ('rho_stg1_sin_V_1_2_write_ln211', F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:211) of variable 'select_ln240', F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:240 on local variable 'rho_stg1_sin_V_1_2' and 'load' operation ('rho_stg1_sin_V_1_2_l', F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:241) on local variable 'rho_stg1_sin_V_1_2'. INFO: [SCHED 204-61] Pipelining result : Target II = 1, Final II = 2, Depth = 4. INFO: [SCHED 204-11] Finished scheduling. INFO: [HLS 200-111] Elapsed time: 7485.48 seconds; current allocated memory: 958.217 MB. INFO: [HLS 200-434] Only 3 loops out of a total 4 loops have been pipelined in this design. INFO: [BIND 205-100] Starting micro-architecture generation ... INFO: [BIND 205-101] Performing variable lifetime analysis. INFO: [BIND 205-101] Exploring resource sharing. INFO: [BIND 205-101] Binding ... INFO: [BIND 205-100] Finished micro-architecture generation. INFO: [HLS 200-111] Elapsed time: 227.286 seconds; current allocated memory: 1.149 GB. INFO: [HLS 200-10] ---------------------------------------------------------------- INFO: [HLS 200-42] -- Implementing module 'thinningCompare_180_s' INFO: [HLS 200-10] ---------------------------------------------------------------- INFO: [SCHED 204-11] Starting scheduling ... INFO: [SCHED 204-61] Pipelining loop 'CONDLOOP'. WARNING: [SCHED 204-68] Unable to enforce a carried constraint (II = 1) between 'mux' operation ('cond2370_1', F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:341) and 'mux' operation ('cond2370_1', F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:341). INFO: [SCHED 204-61] Pipelining result : Target II = 1, Final II = 2, Depth = 7. INFO: [SCHED 204-11] Finished scheduling. INFO: [HLS 200-111] Elapsed time: 402.595 seconds; current allocated memory: 1.165 GB. INFO: [BIND 205-100] Starting micro-architecture generation ... INFO: [BIND 205-101] Performing variable lifetime analysis. INFO: [BIND 205-101] Exploring resource sharing. INFO: [BIND 205-101] Binding ... INFO: [BIND 205-100] Finished micro-architecture generation. INFO: [HLS 200-111] Elapsed time: 58.15 seconds; current allocated memory: 1.379 GB. INFO: [HLS 200-10] ---------------------------------------------------------------- INFO: [HLS 200-42] -- Implementing module 'xfThinning' INFO: [HLS 200-10] ---------------------------------------------------------------- INFO: [SCHED 204-11] Starting scheduling ... INFO: [SCHED 204-11] Finished scheduling. INFO: [HLS 200-111] Elapsed time: 56.785 seconds; current allocated memory: 1.387 GB. INFO: [BIND 205-100] Starting micro-architecture generation ... INFO: [BIND 205-101] Performing variable lifetime analysis. INFO: [BIND 205-101] Exploring resource sharing. INFO: [BIND 205-101] Binding ... INFO: [BIND 205-100] Finished micro-architecture generation. INFO: [HLS 200-111] Elapsed time: 39.613 seconds; current allocated memory: 1.399 GB. INFO: [HLS 200-10] ---------------------------------------------------------------- INFO: [HLS 200-42] -- Implementing module 'get_maxval_index' INFO: [HLS 200-10] ---------------------------------------------------------------- INFO: [SCHED 204-11] Starting scheduling ... INFO: [SCHED 204-61] Pipelining loop 'RHOLOOP'. INFO: [SCHED 204-61] Pipelining result : Target II = 1, Final II = 1, Depth = 3. INFO: [SCHED 204-11] Finished scheduling. INFO: [HLS 200-111] Elapsed time: 41.58 seconds; current allocated memory: 1.400 GB. INFO: [BIND 205-100] Starting micro-architecture generation ... INFO: [BIND 205-101] Performing variable lifetime analysis. INFO: [BIND 205-101] Exploring resource sharing. INFO: [BIND 205-101] Binding ... INFO: [BIND 205-100] Finished micro-architecture generation. INFO: [HLS 200-111] Elapsed time: 2.456 seconds; current allocated memory: 1.400 GB. INFO: [HLS 200-10] ---------------------------------------------------------------- INFO: [HLS 200-42] -- Implementing module 'xfSorting' INFO: [HLS 200-10] ---------------------------------------------------------------- INFO: [SCHED 204-11] Starting scheduling ... WARNING: [SCHED 204-21] Estimated delay (6.34ns) of 'uitofp' operation ('tmp', F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:511) exceeds the target cycle time (target cycle time: 5ns, clock uncertainty: 0.625ns, effective cycle time: 4.38ns). INFO: [SCHED 204-61] Pipelining loop 'THETAL'. INFO: [SCHED 204-61] Pipelining result : Target II = 1, Final II = 1, Depth = 4. WARNING: [SCHED 204-21] Estimated clock period (6.338ns) exceeds the target (target clock period: 5ns, clock uncertainty: 0.625ns, effective delay budget: 4.375ns). WARNING: [SCHED 204-21] The critical path in module 'xfSorting' consists of the following: 'load' operation ('t_V_4_load_2', F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:511) on local variable 't.V' [2043] (0 ns) 'uitofp' operation ('tmp', F:/Thisis/07_Final/HOUGH_SINGLE/Hough_accel/INC\imgproc/xf_houghlines.hpp:511) [2046] (6.34 ns) INFO: [SCHED 204-11] Finished scheduling. INFO: [HLS 200-111] Elapsed time: 5.074 seconds; current allocated memory: 1.404 GB. INFO: [BIND 205-100] Starting micro-architecture generation ... INFO: [BIND 205-101] Performing variable lifetime analysis. INFO: [BIND 205-101] Exploring resource sharing. INFO: [BIND 205-101] Binding ... INFO: [BIND 205-100] Finished micro-architecture generation. INFO: [HLS 200-111] Elapsed time: 24.984 seconds; current allocated memory: 1.411 GB. INFO: [HLS 200-10] ---------------------------------------------------------------- INFO: [HLS 200-42] -- Implementing module 'xfHoughLines' INFO: [HLS 200-10] ---------------------------------------------------------------- INFO: [SCHED 204-11] Starting scheduling ... INFO: [SCHED 204-11] Finished scheduling. INFO: [HLS 200-111] Elapsed time: 25.931 seconds; current allocated memory: 1.413 GB. INFO: [BIND 205-100] Starting micro-architecture generation ... INFO: [BIND 205-101] Performing variable lifetime analysis. INFO: [BIND 205-101] Exploring resource sharing. INFO: [BIND 205-101] Binding ... INFO: [BIND 205-100] Finished micro-architecture generation. INFO: [HLS 200-111] Elapsed time: 129.504 seconds; current allocated memory: 1.419 GB. INFO: [HLS 200-10] ---------------------------------------------------------------- INFO: [HLS 200-42] -- Implementing module 'HoughLines' INFO: [HLS 200-10] ---------------------------------------------------------------- INFO: [SCHED 204-11] Starting scheduling ... INFO: [SCHED 204-11] Finished scheduling. INFO: [HLS 200-111] Elapsed time: 101.173 seconds; current allocated memory: 1.424 GB. INFO: [BIND 205-100] Starting micro-architecture generation ... INFO: [BIND 205-101] Performing variable lifetime analysis. INFO: [BIND 205-101] Exploring resource sharing. INFO: [BIND 205-101] Binding ... INFO: [BIND 205-100] Finished micro-architecture generation. INFO: [HLS 200-111] Elapsed time: 33.953 seconds; current allocated memory: 1.425 GB. INFO: [HLS 200-10] ---------------------------------------------------------------- INFO: [HLS 200-42] -- Implementing module 'houghlines_accel' INFO: [HLS 200-10] ---------------------------------------------------------------- INFO: [SCHED 204-11] Starting scheduling ... INFO: [SCHED 204-11] Finished scheduling. INFO: [HLS 200-111] Elapsed time: 98.327 seconds; current allocated memory: 1.429 GB. INFO: [BIND 205-100] Starting micro-architecture generation ... INFO: [BIND 205-101] Performing variable lifetime analysis. INFO: [BIND 205-101] Exploring resource sharing. INFO: [BIND 205-101] Binding ... INFO: [BIND 205-100] Finished micro-architecture generation. INFO: [HLS 200-111] Elapsed time: 33.771 seconds; current allocated memory: 1.431 GB. INFO: [HLS 200-10] ---------------------------------------------------------------- INFO: [HLS 200-10] -- Generating RTL for module 'xfVoting' INFO: [HLS 200-10] ---------------------------------------------------------------- INFO: [SYN 201-210] Renamed object name 'houghlines_accel_mux_1808_16_2_1' to 'houghlines_accel_bkb' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'houghlines_accel_mux_2568_13_2_1' to 'houghlines_accel_cud' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'houghlines_accel_mux_2568_12_2_1' to 'houghlines_accel_dEe' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'houghlines_accel_mul_mul_16s_12s_28_3_1' to 'houghlines_accel_eOg' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'houghlines_accel_mac_muladd_16ns_12s_28s_28_3_1' to 'houghlines_accel_fYi' due to the length limit 20 INFO: [RTGEN 206-104] Estimated max fanout for 'xfVoting' is 11944 from HDL expression: (1'b1 == ap_CS_fsm_state10) INFO: [RTGEN 206-100] Generating core module 'houghlines_accel_bkb': 2 instance(s). INFO: [RTGEN 206-100] Generating core module 'houghlines_accel_cud': 360 instance(s). INFO: [RTGEN 206-100] Generating core module 'houghlines_accel_dEe': 180 instance(s). INFO: [RTGEN 206-100] Generating core module 'houghlines_accel_eOg': 1 instance(s). INFO: [RTGEN 206-100] Generating core module 'houghlines_accel_fYi': 1 instance(s). INFO: [RTGEN 206-100] Finished creating RTL model for 'xfVoting'. INFO: [HLS 200-111] Elapsed time: 161.332 seconds; current allocated memory: 1.913 GB. INFO: [HLS 200-10] ---------------------------------------------------------------- INFO: [HLS 200-10] -- Generating RTL for module 'thinningCompare_180_s' INFO: [HLS 200-10] ---------------------------------------------------------------- INFO: [SYN 201-210] Renamed object name 'houghlines_accel_mux_2568_1_2_1' to 'houghlines_accel_g8j' due to the length limit 20 INFO: [RTGEN 206-100] Generating core module 'houghlines_accel_dEe': 3 instance(s). INFO: [RTGEN 206-100] Generating core module 'houghlines_accel_g8j': 720 instance(s). INFO: [RTGEN 206-100] Finished creating RTL model for 'thinningCompare_180_s'. INFO: [HLS 200-111] Elapsed time: 416.596 seconds; current allocated memory: 2.743 GB. INFO: [HLS 200-10] ---------------------------------------------------------------- INFO: [HLS 200-10] -- Generating RTL for module 'xfThinning' INFO: [HLS 200-10] ---------------------------------------------------------------- INFO: [RTGEN 206-100] Finished creating RTL model for 'xfThinning'. INFO: [HLS 200-111] Elapsed time: 1013.6 seconds; current allocated memory: 2.901 GB. INFO: [HLS 200-10] ---------------------------------------------------------------- INFO: [HLS 200-10] -- Generating RTL for module 'get_maxval_index' INFO: [HLS 200-10] ---------------------------------------------------------------- INFO: [RTGEN 206-100] Finished creating RTL model for 'get_maxval_index'. INFO: [HLS 200-111] Elapsed time: 66.403 seconds; current allocated memory: 2.898 GB. INFO: [HLS 200-10] ---------------------------------------------------------------- INFO: [HLS 200-10] -- Generating RTL for module 'xfSorting' INFO: [HLS 200-10] ---------------------------------------------------------------- INFO: [SYN 201-210] Renamed object name 'houghlines_accel_fadd_32ns_32ns_32_9_full_dsp_1' to 'houghlines_accel_hbi' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'houghlines_accel_fmul_32ns_32ns_32_5_max_dsp_1' to 'houghlines_accel_ibs' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'houghlines_accel_uitofp_32ns_32_8_1' to 'houghlines_accel_jbC' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'houghlines_accel_mux_1808_12_2_1' to 'houghlines_accel_kbM' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'houghlines_accel_lshr_47s_32ns_47_2_1' to 'houghlines_accel_lbW' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'houghlines_accel_shl_47s_32ns_47_2_1' to 'houghlines_accel_mb6' due to the length limit 20 INFO: [RTGEN 206-104] Estimated max fanout for 'xfSorting' is 6502 from HDL expression: ((1'b0 == ap_block_state3_on_subcall_done) & (1'b1 == ap_CS_fsm_state3)) INFO: [RTGEN 206-100] Generating core module 'houghlines_accel_hbi': 1 instance(s). INFO: [RTGEN 206-100] Generating core module 'houghlines_accel_ibs': 1 instance(s). INFO: [RTGEN 206-100] Generating core module 'houghlines_accel_jbC': 1 instance(s). INFO: [RTGEN 206-100] Generating core module 'houghlines_accel_kbM': 2 instance(s). INFO: [RTGEN 206-100] Generating core module 'houghlines_accel_lbW': 1 instance(s). INFO: [RTGEN 206-100] Generating core module 'houghlines_accel_mb6': 1 instance(s). INFO: [RTGEN 206-100] Finished creating RTL model for 'xfSorting'. INFO: [HLS 200-111] Elapsed time: 12.178 seconds; current allocated memory: 2.912 GB. INFO: [HLS 200-10] ---------------------------------------------------------------- INFO: [HLS 200-10] -- Generating RTL for module 'xfHoughLines' INFO: [HLS 200-10] ---------------------------------------------------------------- INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_0_V' to 'xfHoughLines_accuncg' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_1_V' to 'xfHoughLines_accuocq' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_2_V' to 'xfHoughLines_accupcA' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_3_V' to 'xfHoughLines_accuqcK' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_4_V' to 'xfHoughLines_accurcU' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_5_V' to 'xfHoughLines_accusc4' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_6_V' to 'xfHoughLines_accutde' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_7_V' to 'xfHoughLines_accuudo' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_8_V' to 'xfHoughLines_accuvdy' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_9_V' to 'xfHoughLines_accuwdI' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_10_V' to 'xfHoughLines_accuxdS' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_11_V' to 'xfHoughLines_accuyd2' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_12_V' to 'xfHoughLines_accuzec' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_13_V' to 'xfHoughLines_accuAem' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_14_V' to 'xfHoughLines_accuBew' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_15_V' to 'xfHoughLines_accuCeG' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_16_V' to 'xfHoughLines_accuDeQ' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_17_V' to 'xfHoughLines_accuEe0' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_18_V' to 'xfHoughLines_accuFfa' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_19_V' to 'xfHoughLines_accuGfk' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_20_V' to 'xfHoughLines_accuHfu' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_21_V' to 'xfHoughLines_accuIfE' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_22_V' to 'xfHoughLines_accuJfO' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_23_V' to 'xfHoughLines_accuKfY' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_24_V' to 'xfHoughLines_accuLf8' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_25_V' to 'xfHoughLines_accuMgi' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_26_V' to 'xfHoughLines_accuNgs' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_27_V' to 'xfHoughLines_accuOgC' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_28_V' to 'xfHoughLines_accuPgM' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_29_V' to 'xfHoughLines_accuQgW' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_30_V' to 'xfHoughLines_accuRg6' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_31_V' to 'xfHoughLines_accuShg' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_32_V' to 'xfHoughLines_accuThq' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_33_V' to 'xfHoughLines_accuUhA' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_34_V' to 'xfHoughLines_accuVhK' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_35_V' to 'xfHoughLines_accuWhU' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_36_V' to 'xfHoughLines_accuXh4' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_37_V' to 'xfHoughLines_accuYie' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_38_V' to 'xfHoughLines_accuZio' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_39_V' to 'xfHoughLines_accu0iy' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_40_V' to 'xfHoughLines_accu1iI' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_41_V' to 'xfHoughLines_accu2iS' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_42_V' to 'xfHoughLines_accu3i2' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_43_V' to 'xfHoughLines_accu4jc' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_44_V' to 'xfHoughLines_accu5jm' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_45_V' to 'xfHoughLines_accu6jw' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_46_V' to 'xfHoughLines_accu7jG' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_47_V' to 'xfHoughLines_accu8jQ' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_48_V' to 'xfHoughLines_accu9j0' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_49_V' to 'xfHoughLines_accubak' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_50_V' to 'xfHoughLines_accubbk' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_51_V' to 'xfHoughLines_accubck' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_52_V' to 'xfHoughLines_accubdk' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_53_V' to 'xfHoughLines_accubek' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_54_V' to 'xfHoughLines_accubfk' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_55_V' to 'xfHoughLines_accubgk' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_56_V' to 'xfHoughLines_accubhl' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_57_V' to 'xfHoughLines_accubil' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_58_V' to 'xfHoughLines_accubjl' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_59_V' to 'xfHoughLines_accubkl' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_60_V' to 'xfHoughLines_accubll' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_61_V' to 'xfHoughLines_accubml' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_62_V' to 'xfHoughLines_accubnm' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_63_V' to 'xfHoughLines_accubom' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_64_V' to 'xfHoughLines_accubpm' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_65_V' to 'xfHoughLines_accubqm' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_66_V' to 'xfHoughLines_accubrm' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_67_V' to 'xfHoughLines_accubsm' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_68_V' to 'xfHoughLines_accubtn' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_69_V' to 'xfHoughLines_accubun' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_70_V' to 'xfHoughLines_accubvn' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_71_V' to 'xfHoughLines_accubwn' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_72_V' to 'xfHoughLines_accubxn' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_73_V' to 'xfHoughLines_accubyn' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_74_V' to 'xfHoughLines_accubzo' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_75_V' to 'xfHoughLines_accubAo' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_76_V' to 'xfHoughLines_accubBo' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_77_V' to 'xfHoughLines_accubCo' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_78_V' to 'xfHoughLines_accubDo' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_79_V' to 'xfHoughLines_accubEo' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_80_V' to 'xfHoughLines_accubFp' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_81_V' to 'xfHoughLines_accubGp' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_82_V' to 'xfHoughLines_accubHp' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_83_V' to 'xfHoughLines_accubIp' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_84_V' to 'xfHoughLines_accubJp' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_85_V' to 'xfHoughLines_accubKp' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_86_V' to 'xfHoughLines_accubLp' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_87_V' to 'xfHoughLines_accubMq' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_88_V' to 'xfHoughLines_accubNq' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_89_V' to 'xfHoughLines_accubOq' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_90_V' to 'xfHoughLines_accubPq' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_91_V' to 'xfHoughLines_accubQq' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_92_V' to 'xfHoughLines_accubRq' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_93_V' to 'xfHoughLines_accubSr' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_94_V' to 'xfHoughLines_accubTr' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_95_V' to 'xfHoughLines_accubUr' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_96_V' to 'xfHoughLines_accubVr' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_97_V' to 'xfHoughLines_accubWr' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_98_V' to 'xfHoughLines_accubXr' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_99_V' to 'xfHoughLines_accubYs' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_100_V' to 'xfHoughLines_accubZs' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_101_V' to 'xfHoughLines_accub0s' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_102_V' to 'xfHoughLines_accub1s' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_103_V' to 'xfHoughLines_accub2s' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_104_V' to 'xfHoughLines_accub3s' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_105_V' to 'xfHoughLines_accub4t' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_106_V' to 'xfHoughLines_accub5t' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_107_V' to 'xfHoughLines_accub6t' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_108_V' to 'xfHoughLines_accub7t' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_109_V' to 'xfHoughLines_accub8t' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_110_V' to 'xfHoughLines_accub9t' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_111_V' to 'xfHoughLines_accucau' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_112_V' to 'xfHoughLines_accucbu' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_113_V' to 'xfHoughLines_accuccu' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_114_V' to 'xfHoughLines_accucdu' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_115_V' to 'xfHoughLines_accuceu' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_116_V' to 'xfHoughLines_accucfu' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_117_V' to 'xfHoughLines_accucgu' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_118_V' to 'xfHoughLines_accuchv' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_119_V' to 'xfHoughLines_accuciv' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_120_V' to 'xfHoughLines_accucjv' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_121_V' to 'xfHoughLines_accuckv' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_122_V' to 'xfHoughLines_accuclv' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_123_V' to 'xfHoughLines_accucmv' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_124_V' to 'xfHoughLines_accucnw' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_125_V' to 'xfHoughLines_accucow' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_126_V' to 'xfHoughLines_accucpw' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_127_V' to 'xfHoughLines_accucqw' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_128_V' to 'xfHoughLines_accucrw' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_129_V' to 'xfHoughLines_accucsw' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_130_V' to 'xfHoughLines_accuctx' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_131_V' to 'xfHoughLines_accucux' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_132_V' to 'xfHoughLines_accucvx' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_133_V' to 'xfHoughLines_accucwx' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_134_V' to 'xfHoughLines_accucxx' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_135_V' to 'xfHoughLines_accucyx' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_136_V' to 'xfHoughLines_accuczy' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_137_V' to 'xfHoughLines_accucAy' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_138_V' to 'xfHoughLines_accucBy' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_139_V' to 'xfHoughLines_accucCy' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_140_V' to 'xfHoughLines_accucDy' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_141_V' to 'xfHoughLines_accucEy' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_142_V' to 'xfHoughLines_accucFz' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_143_V' to 'xfHoughLines_accucGz' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_144_V' to 'xfHoughLines_accucHz' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_145_V' to 'xfHoughLines_accucIz' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_146_V' to 'xfHoughLines_accucJz' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_147_V' to 'xfHoughLines_accucKz' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_148_V' to 'xfHoughLines_accucLz' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_149_V' to 'xfHoughLines_accucMA' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_150_V' to 'xfHoughLines_accucNA' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_151_V' to 'xfHoughLines_accucOA' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_152_V' to 'xfHoughLines_accucPA' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_153_V' to 'xfHoughLines_accucQA' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_154_V' to 'xfHoughLines_accucRA' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_155_V' to 'xfHoughLines_accucSB' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_156_V' to 'xfHoughLines_accucTB' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_157_V' to 'xfHoughLines_accucUB' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_158_V' to 'xfHoughLines_accucVB' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_159_V' to 'xfHoughLines_accucWB' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_160_V' to 'xfHoughLines_accucXB' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_161_V' to 'xfHoughLines_accucYC' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_162_V' to 'xfHoughLines_accucZC' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_163_V' to 'xfHoughLines_accuc0C' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_164_V' to 'xfHoughLines_accuc1C' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_165_V' to 'xfHoughLines_accuc2C' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_166_V' to 'xfHoughLines_accuc3C' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_167_V' to 'xfHoughLines_accuc4D' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_168_V' to 'xfHoughLines_accuc5D' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_169_V' to 'xfHoughLines_accuc6D' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_170_V' to 'xfHoughLines_accuc7D' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_171_V' to 'xfHoughLines_accuc8D' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_172_V' to 'xfHoughLines_accuc9D' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_173_V' to 'xfHoughLines_accudaE' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_174_V' to 'xfHoughLines_accudbE' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_175_V' to 'xfHoughLines_accudcE' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_176_V' to 'xfHoughLines_accuddE' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_177_V' to 'xfHoughLines_accudeE' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_178_V' to 'xfHoughLines_accudfE' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_179_V' to 'xfHoughLines_accudgE' due to the length limit 20 INFO: [SYN 201-210] Renamed object name 'xfHoughLines_accum_180_V' to 'xfHoughLines_accudhF' due to the length limit 20 INFO: [RTGEN 206-104] Estimated max fanout for 'xfHoughLines' is 5077 from HDL expression: (1'b1 == ap_CS_fsm_state2) INFO: [RTGEN 206-100] Finished creating RTL model for 'xfHoughLines'. INFO: [HLS 200-111] Elapsed time: 48.24 seconds; current allocated memory: 2.932 GB. INFO: [HLS 200-10] ---------------------------------------------------------------- INFO: [HLS 200-10] -- Generating RTL for module 'HoughLines' INFO: [HLS 200-10] ---------------------------------------------------------------- INFO: [RTGEN 206-100] Finished creating RTL model for 'HoughLines'. INFO: [HLS 200-111] Elapsed time: 116.561 seconds; current allocated memory: 2.938 GB. INFO: [HLS 200-10] ---------------------------------------------------------------- INFO: [HLS 200-10] -- Generating RTL for module 'houghlines_accel' INFO: [HLS 200-10] ---------------------------------------------------------------- INFO: [RTGEN 206-500] Setting interface mode on port 'houghlines_accel/p_src_allocatedFlag' to 'ap_none'. INFO: [RTGEN 206-500] Setting interface mode on port 'houghlines_accel/p_src_rows' to 'ap_none'. INFO: [RTGEN 206-500] Setting interface mode on port 'houghlines_accel/p_src_cols' to 'ap_none'. INFO: [RTGEN 206-500] Setting interface mode on port 'houghlines_accel/p_src_size' to 'ap_none'. INFO: [RTGEN 206-500] Setting interface mode on port 'houghlines_accel/p_src_data_V' to 'ap_memory'. INFO: [RTGEN 206-500] Setting interface mode on port 'houghlines_accel/arrayy' to 'm_axi'. INFO: [RTGEN 206-500] Setting interface mode on port 'houghlines_accel/arrayx' to 'm_axi'. INFO: [RTGEN 206-500] Setting interface mode on port 'houghlines_accel/threshold' to 'ap_none'. INFO: [RTGEN 206-500] Setting interface mode on port 'houghlines_accel/maxlines' to 'ap_none'. INFO: [RTGEN 206-500] Setting interface mode on function 'houghlines_accel' to 's_axilite & ap_ctrl_hs'. INFO: [RTGEN 206-100] Bundling port 'return' to AXI-Lite port lite. WARNING: [RTGEN 206-101] Port 'houghlines_accel/p_src_allocatedFlag' has no fanin or fanout and is left dangling. Please use C simulation to confirm this function argument can be read from or written to. WARNING: [RTGEN 206-101] Port 'houghlines_accel/p_src_size' has no fanin or fanout and is left dangling. Please use C simulation to confirm this function argument can be read from or written to. INFO: [RTGEN 206-100] Finished creating RTL model for 'houghlines_accel'. INFO: [HLS 200-111] Elapsed time: 96.224 seconds; current allocated memory: 2.943 GB. INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_bkb' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_bkb' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_cud' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_dEe' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_g8j' INFO: [RTMG 210-288] Generating pipelined multiplexer : 'houghlines_accel_kbM' INFO: [RTMG 210-286] Generating pipelined shifter : 'houghlines_accel_lbW' INFO: [RTMG 210-286] Generating pipelined shifter : 'houghlines_accel_mb6' INFO: [RTMG 210-278] Implementing memory 'xfHoughLines_accuncg_ram (RAM_T2P_BRAM)' using block RAMs. INFO: [RTMG 210-278] Implementing memory 'xfHoughLines_accudhF_ram (RAM_T2P_BRAM)' using block RAMs. INFO: [HLS 200-111] Finished generating all RTL models Time (s): cpu = 02:37:35 ; elapsed = 03:01:08 . Memory (MB): peak = 4325.602 ; gain = 4232.023 INFO: [VHDL 208-304] Generating VHDL RTL for houghlines_accel. INFO: [VLOG 209-307] Generating Verilog RTL for houghlines_accel. INFO: [HLS 200-112] Total elapsed time: 10886.3 seconds; peak allocated memory: 2.943 GB. Finished C synthesis.