YoWASP / yosys

Unofficial Yosys WebAssembly packages
https://yowasp.org
ISC License
65 stars 2 forks source link

Add JavaScript package #28

Closed whitequark closed 9 months ago

whitequark commented 9 months ago

Example:

import { runYosys } from '../gen/bundle-node.js';

const files = await runYosys(
  ["inv.v", "-p", "hierarchy -auto-top", "-p", "synth_ice40 -json top.json"], 
  {"inv.v": "module inv(input a, output o); assign o = ~a; endmodule"});
console.log(files["top.json"]);

Fixes #21