YosysHQ / apicula

Project Apicula 🐝: bitstream documentation for Gowin FPGAs
MIT License
462 stars 66 forks source link

adding little helper tool like icepll #178

Closed multigcs closed 1 year ago

multigcs commented 1 year ago

written in python, only for the GW1N-9C finds the best match

yrabbit commented 1 year ago

Great stuff! It generates working code for 9C. How about adding a board selection parameter like -d GW1NZ-1 ?

multigcs commented 1 year ago

i have added more chip types but i can not test this all, i have only the TangNano9K board but i think it's a good base

yrabbit commented 1 year ago

Great job! I will play with different boards in the next day or two.

yrabbit commented 1 year ago

Works for Tangnano4k and Tangnano9k