YosysHQ / arachne-pnr

Place and route tool for FPGAs
MIT License
413 stars 72 forks source link

Print a list of _all_ missing set_io constraints before bailing. #105

Closed hzeller closed 6 years ago

hzeller commented 6 years ago

The previous version was just reporting the first missing set_io constraint, then bailed out. Instead, print all of them; this significantly improves usability.

Print bit-ranges in compact form. So instead of e.g. foo[0], foo[1], foo[2], foo[4], foo[5], foo[6] print foo[0..2] foo[4..6]

Note, I just get started using/contributing to arachne-pnr so let me know if I am missing some important style-guide or if there is anything that would make this change more useful.

hzeller commented 6 years ago

Note, there is a test failing at roughly read_pcf sb_gb_io.1k.pcf... but that fails as well in master/HEAD.

thesourcerer8 commented 6 years ago

The build failure is caused by a missing installation of the icestorm requirements, not by a problem in the change. I think the Travis CI configuration should be updated.