Open MariwanJ opened 11 months ago
after running the build command .. it stops at the cloning and do nothing.
python .\builder.py build ==> Loading default building rules ... -> Loading cocotb ... -> Loading default ... -> Loading default-formal ... -> Loading yosyshq-ci ... -> Loading eqy ... -> Loading ghdl ... -> Loading gtkwave ... -> Loading iverilog ... -> Loading mcy ... -> Loading flask ... -> Loading nextpnr-bba ... -> Loading nextpnr-generic ... -> Loading nextpnr-ice40 ... -> Loading nextpnr-ecp5 ... -> Loading nextpnr-machxo2 ... -> Loading nextpnr-nexus ... -> Loading nextpnr-mistral ... -> Loading nextpnr-gowin ... -> Loading icestorm ... -> Loading prjtrellis ... -> Loading prjoxide ... -> Loading apicula ... -> Loading numpy ... -> Loading icestorm-bba ... -> Loading prjtrellis-bba ... -> Loading prjoxide-bba ... -> Loading apicula-bba ... -> Loading dfu-util ... -> Loading ecpdap ... -> Loading ecpprog ... -> Loading fujprog ... -> Loading iceprogduino ... -> Loading openfpgaloader ... -> Loading python-programmers ... -> Loading openocd ... -> Loading icesprog ... -> Loading pyhdl ... -> Loading python3 ... -> Loading python2 ... -> Loading system-resources-min ... -> Loading system-resources ... -> Loading sby ... -> Loading sby-gui ... -> Loading avy ... -> Loading symfpu ... -> Loading bitwuzla ... -> Loading lingeling ... -> Loading cadical ... -> Loading btor2tools ... -> Loading boolector ... -> Loading libpoly ... -> Loading bison ... -> Loading cvc5 ... -> Loading cvc4 ... -> Loading smt-switch ... -> Loading pono ... -> Loading suprove ... -> Loading yices ... -> Loading z3 ... -> Loading picosat ... -> Loading aiger ... -> Loading capnproto ... -> Loading flatbuffers ... -> Loading surelog ... -> Loading surelog-data ... -> Loading surelog-plugin ... -> Loading utils ... -> Loading verilator ... -> Loading abc ... -> Loading yosys ... -> Loading ghdl-yosys-plugin ... -> Loading xdot ... -> Loading graphviz ... ==> Validate building rules ... ==> Downloading sources ... ==> WARNING : Destination dir 'abc' does not contain repository data. Deleting... -> [abc] Cloning https://github.com/YosysHQ/abc ...
after running the build command .. it stops at the cloning and do nothing.