YosysHQ / oss-cad-suite-build

Multi-platform nightly builds of open source digital design and verification tools
ISC License
742 stars 67 forks source link

oss-cad-suite Yosys executable failing to load plugin #91

Open gussmith23 opened 7 months ago

gussmith23 commented 7 months ago

Hi all!

Sorry, was unsure whether to post this here or in https://github.com/YosysHQ/yosys.

Yosys's plugins are super easy to use. Thanks for showing them to me. They work well on my Mac, but I'm having issues on Linux. Here's the setup:

Seemingly, mixing the prebuilt Yosys with the locally-built plugin isn't working well. When I try to load the plugin with the oss-cad-suite Yosys, I get the following error:

ERROR: Can't load module `/root/lakeroad/yosys-plugin/lakeroad.so': /root/oss-cad-suite/lib/libstdc++.so.6: version `GLIBCXX_3.4.29' not found (required by /root/lakeroad/yosys-plugin/lakeroad.so)

But when I build Yosys from source, the error doesn't present itself.

It seems like it has to be due to Yosys being built in a different environment, but I have no idea. Any thoughts?

mmicko commented 7 months ago

Hi Gus,

Good that you have asked. To build a plugin that is able to run with oss-cad-suite or tabby-cad, you need to build it within same build environment, this affects also GLIBC but can also be problematic due to some other dependencies (if your plugin uses them). For this there is prepared solution of using https://github.com/YosysHQ-GmbH/tabby-cad-plugin-build Note that instructions are made for TabbyCAD, but in case of oss-cad-suite you can just point license file to a dummy one. In case you need some additional info feel free to ping me here or on Slack.