YosysHQ / sby

SymbiYosys (sby) -- Front-end for Yosys-based formal verification flows
Other
387 stars 73 forks source link

add test for yosys's $divfloor and $modfloor cells #161

Closed programmerjake closed 2 years ago

programmerjake commented 2 years ago

Depends on: https://github.com/YosysHQ/yosys/pull/3335

jix commented 2 years ago

LGTM now, I'll merge this tomorrow when your corresponding yosys PR landed in the nightly builds.

programmerjake commented 2 years ago

@jix the nightly build now includes $divfloor in write_smt2