YosysHQ / sby

SymbiYosys (sby) -- Front-end for Yosys-based formal verification flows
Other
388 stars 73 forks source link

append_assume: Make `append_assume on` the default for now #230

Closed jix closed 1 year ago

jix commented 1 year ago

Having append_assume off needs vcd_sim on to not be ignored with a warning and vcd_sim off is still the default.