YosysHQ / sby

SymbiYosys (sby) -- Front-end for Yosys-based formal verification flows
Other
379 stars 74 forks source link

smtbmc: Use new -noinitstate option when simulating inductive cex #249

Closed jix closed 9 months ago

jix commented 9 months ago

This requires YosysHQ/yosys#3962