YosysHQ / sby

SymbiYosys (sby) -- Front-end for Yosys-based formal verification flows
Other
379 stars 74 forks source link

Add formal_bind example #264

Open KrystalDelusion opened 4 months ago

KrystalDelusion commented 4 months ago

Demonstrate binding SVA properties to a VHDL design. Mention example code (with snippets) in section on Verific.