YosysHQ / yosys

Yosys Open SYnthesis Suite
https://yosyshq.net/yosys/
ISC License
3.41k stars 872 forks source link

Gowin BSRAM inference -- We need B suffix (such as DPB instead of current DP) #4098

Closed chili-chips closed 8 months ago

chili-chips commented 9 months ago

Version

35

On which OS did this happen?

Linux

Reproduction Steps

https://github.com/YosysHQ/apicula/issues/208#issuecomment-1872635263

Expected Behavior

It's been a while since Gowin has added B suffix to their BSRAM primitives, to differentiate them from S(hadow) SRAM. nextpnr-gowin (project Apicula) has updated the naming. However, Yosys seems to still be using the original nomenclature, creating a disconnect.

We need BSRAM to be inferred as DPB, not DP.

Actual Behavior

BSRAM is inferred as DP instead of DPB.

Seyviour commented 8 months ago

Ah. I see. Their IDE should be the final source of truth. Thanks for looking into it!! :rocket:

yrabbit commented 8 months ago

Well, yeah, images generated by the vendor’s IDE are the last resort, and not because they are true - there may be a lot of errors in IDE - but because no one will give us internal documentation :smile: